Wiki

Differences

This shows you the differences between two versions of the page.

Link to this comparison view

Both sides previous revisionPrevious revision
Next revision
Previous revision
Last revisionBoth sides next revision
resources:tools-software:linux-software:embedded_arm_images [16 Aug 2021 17:41] Stefan-Robert Rausresources:tools-software:linux-software:embedded_arm_images [15 Jul 2022 14:41] – [Preparing the image: FPGA Carrier] Stefan-Robert Raus
Line 1: Line 1:
-====== AD-FMC-SDCARD for Zynq & Altera SoC Quick Start Guide ======+====== Analog Devices Kuiper Linux ====== 
  
-The [[mouser>584-AD-FMC-SDCARD|AD-FMC-SDCARD]] or [[digikey>AD-FMC-SDCARD-ND|AD-FMC-SDCARD]] is an [[wp>SD_card|microSD Card]] and SD Card adapter (to use the Micro SD Card in an SD Card Slot)pre-formatted with an ADI supported Linux image on it, which can be used for looking at a variety of ADI boards which is compatible with Raspberry Pi, Xilinx Zynq & Zynq UltraScale+ and Intel SoC platforms.+Analog Devices Kuiper Linux is a distribution based on Raspbian for the Raspberry Pi. It incorporates Linux device drivers for ADI products, and is created with ease of use in mind. 
 +The reasoning behind creating this distribution is to minimize the barriers to integrating ADI hardware devices into a Linux-based system. When starting with a generic Linux distribution, the kernel typically would have to be rebuilt with the desired drivers enabled. While this is not difficult for an engineer that is familiar with the process, it can be a daunting task even when everything goes right.\\ 
 +ADI Kuiper Linux solves this problem, and includes a host of additional applications, software libraries, and utilities including: 
 +  * IIO Oscilloscope (basic GUI for debugging IIO devices) 
 +  * IIOD (exposes IIO devices over a network connection to a remote host) 
 +  * libiio (library for applications running locally) 
 +  * pyadi-iio (Python abstraction layer for iio devices) 
 +  * libm2k (software API for the ADALM2000 multifunction USB instrument) 
 +  * GNURadio (including GR-IIO blocks, ADALM2000, ADALM-Pluto blocks)  
 + 
 +While Rasbpian targets Raspberry Pi platform boards, ADI Kuiper Linux supports several other platforms including the Xilinx and Intel FPGAs. 
 + 
 +The [[mouser>584-AD-FMC-SDCARD|AD-FMC-SDCARD]] or [[digikey>AD-FMC-SDCARD-ND|AD-FMC-SDCARD]] is an [[wp>SD_card|microSD Card]] and SD Card adapter (to use the Micro SD Card in an SD Card Slot) comes pre-formatted with an ADI Kuiper Linux image on it. This will usually ship with many evaluation boards.
  
 <WRAP tip>If you have a preformatted SD Card (one that normally comes with one of the ADI FMC Cards), you can skip down to the [[#preparing_the_image|Preparing the image]] section. You still will need to do some manual configuration, since the SD Card supports different base platforms, and different FMC Cards. <WRAP tip>If you have a preformatted SD Card (one that normally comes with one of the ADI FMC Cards), you can skip down to the [[#preparing_the_image|Preparing the image]] section. You still will need to do some manual configuration, since the SD Card supports different base platforms, and different FMC Cards.
Line 7: Line 19:
 You will also need to [[#staying_up_to_date|update the image]], since the pre-formatted image will be 6 or more months old, and issues have been fixed since then. You will also need to [[#staying_up_to_date|update the image]], since the pre-formatted image will be 6 or more months old, and issues have been fixed since then.
 </WRAP> </WRAP>
- 
-This guide provides some quick instructions (still takes awhile to download, and set things up) on how to setup the ADI Linux distribution for Xilinx Zynq and run them on either: 
-  * [[xilinx>ZC702|Xilinx Zynq-7000 All Programmable SoC ZC702 Evaluation Kit]] 
-  * [[xilinx>ZC706|Xilinx Zynq-7000 All Programmable SoC ZC706 Evaluation Kit]] 
-  * [[http://zedboard.org/product/zedboard|Avnet ZED Board]] 
  
 ===== Requirements ===== ===== Requirements =====
Line 26: Line 33:
 For different platforms you'll need different images. Currently we provide a single pre-build images, that can work on all the platforms we support. For different platforms you'll need different images. Currently we provide a single pre-build images, that can work on all the platforms we support.
  
-<note important>Make sure you unzip the image using either [[https://www.7-zip.org/|7-zip]] or on Linux it can be done via command-line **xz -d 2018_R2-2019_05_23.img.xz**. The actual file that needs to be dumped to the SD card has to have the ***.img** extension.</note>+<note important>Make sure you unzip the image using either [[https://www.7-zip.org/|7-zip]] or on Linux it can be done via command-line **xz -d <image_name>.img.xz**. The actual file that needs to be dumped to the SD card has to have the ***.img** extension.</note>
  
 <note important>Your SD-card needs to be at least 16 GB for releases 2019-R2 and newer. Or 8 GB for older releases.</note> <note important>Your SD-card needs to be at least 16 GB for releases 2019-R2 and newer. Or 8 GB for older releases.</note>
Line 33: Line 40:
  
 <WRAP hi round download 80%> <WRAP hi round download 80%>
-  * **28 July 2021 release candidate (2019_R2) ** +  * [[:resources:tools-software:linux-software:adi-kuiper_images:release_notes|Download Release Images]]
-  * [[https://swdownloads.analog.com/cse/kuiper/image_2021-07-28-ADI-Kuiper-full.zip|Actual file]] +
-  * Checksum image_2021-07-28-ADI-Kuiper-full.zip     ''279097240dec7156ff5e15b7ce0b8a25'' +
-  * Checksum 2021-07-28-ADI-Kuiper-full.img     ''b160453396e482234094a92134769ec6''+
 </WRAP> </WRAP>
  
-<WRAP hi round download 80%> +Now, depending if you are using Linux or Windows, follow these instructions to write the file to your SD card. 
-  * **23 February 2021 release candidate (2019_R2) ** +    * [[./zynq_images/Linux Hosts]] 
-  * [[https://swdownloads.analog.com/cse/kuiper/2021-02-23-ADI-Kuiper.img.xz|Actual file]] +    [[./zynq_images/Windows Hosts]]
-  Checksum 2021-02-23-ADI-Kuiper.img.xz  ''4e2d2d290f6c55666a91246afb42d6c8'' +
-  * Checksum 2021-02-23-ADI-Kuiper.img     ''f5dcc9a192ef4597d73d532046320306'' +
-</WRAP>+
  
-<WRAP hi round download 80%> 
-  * **22 June 2020 release (2019_R1) ** 
-  * [[http://swdownloads.analog.com/cse/2019_R1-2020_06_22.img.xz|Actual file]] 
-  * Checksum 2019_R1-2020_06_22.img.xz  ''6ac6fc0733baba361acb66bd4cb050be'' 
-  * Checksum 2019_R1-2020_06_22.img     ''3135f400387c39f29dc877e68636a875'' 
-</WRAP> 
  
-<WRAP hi round download 80%> 
-  * **04 February 2020 release (2019_R1 RC) ** 
-  * [[http://swdownloads.analog.com/cse/2019_R1-2020_02_04.img.xz|Actual file]] 
-  * Checksum 2019_R1-2020_02_04.img.xz  ''49c121d5e7072ab84760fed78812999f'' 
-  * Checksum 2019_R1-2020_02_04.img     ''40aa0cd80144a205fc018f479eff5fce'' 
-</WRAP> 
  
-<WRAP hi round download 80%> 
-  * **23 May 2019 release (2018_R2) ** 
-  * [[http://swdownloads.analog.com/cse/2018_R2-2019_05_23.img.xz|Actual file]] 
-  * Checksum 2018_R2-2019_05_23.img.xz  ''c377ca95209f0f3d6901fd38ef2b4dfd'' 
-  * Checksum 2018_R2-2019_05_23.img     ''59c2fe68118c3b635617e36632f5db0b'' 
-</WRAP> 
  
-<hidden Older releases (Click to expand)> +===== Preparing the image: FPGA Carrier =====
-<WRAP lo round download 80%> +
-  * **26 June 2018 release (2018_R1) ** +
-  * [[http://swdownloads.analog.com/cse/2018_R1-2018_06_26.img.xz|Actual file]] +
-  * Checksum 2018_R1-2018_06_26.img.xz  ''5075da2695de84c88f086e85f1a6da51'' +
-  * Checksum 2018_R1-2018_06_26.img     ''e48c63736517b4c4051be5486fd62ad5'' +
-</WRAP> +
- +
-<WRAP lo round download 80%> +
-  * **29 January 2018 release (2017_R1) ** +
-  * [[http://swdownloads.analog.com/cse/2017_R1-2018_01_29.img.xz|Actual file]] +
-  * Checksum 2017_R1-2018_01_29.img.xz  ''020d696244655d19056ce1fff1f63f25'' +
-  * Checksum 2017_R1-2018_01_29.img     ''a698a6ef59825bd63654c1d45b99f4c8'' +
-</WRAP> +
- +
-<WRAP lo round download 80%> +
-  * **29 June 2017 release (2016_R2) ** +
-  * [[http://swdownloads.analog.com/cse/2016_R2-2017_06_29.img.xz|Actual file]] +
-  * Checksum 2016_R2-2017_06_29.img.xz  ''9f20adb27c5502a96fa56fa0f3088bd9'' +
-  * Checksum 2016_R2-2017_06_29.img     ''71b91e14dd1bd83779487850461440ea'' +
-</WRAP> +
- +
-<WRAP lo round download 80%> +
-  * **23 December 2016 release (2016_R1) ** +
-  * [[http://swdownloads.analog.com/cse/2016_R1-2016_12_23.img.xz|Actual file]] +
-  * Checksum 2016_R1-2016_12_23.img.xz  ''f167bfad87f9b9856d3b94297385a375'' +
-  * Checksum 2016_R1-2016_12_23.img     ''edf8ea425576c9dd913e74e44c404e04'' +
-</WRAP> +
- +
-<WRAP lo round download 80%> +
-  * **12 December 2016 release (2016_R1) ** +
-  * [[http://swdownloads.analog.com/cse/2016_R1-2016_12_12.img.xz|Actual file]] +
-  * Checksum 2016_R1-2016_12_12.img.xz  ''fdc2cd4d4075933ea7817f23544ca85e'' +
-  * Checksum 2016_R1-2016_12_12.img     ''efc43e1b372bf154e8c4a11c5de8de22'' +
-</WRAP> +
- +
-<WRAP lo round download 80%> +
-  * **26 July 2016 release (2015_R2) ** +
-  * [[http://swdownloads.analog.com/cse/2015_R2-2016_07_26.img.xz|Actual file]] +
-  * Checksum 2015_R2-2016_07_26.img.xz  ''1520D974FBAADA6107B4C41606C40264'' +
-  * Checksum 2015_R2-2016_07_26.img     ''E0D5748101D476FCA807C20EEF03E788'' +
-</WRAP> +
- +
-<WRAP lo round download 80%> +
-  * **1 April 2016 release (2015_R2) ** +
-  * [[http://swdownloads.analog.com/cse/2015_R2-2016_04_01.img.xz|Actual file]] +
-  * Checksum 2015_R2-2016_04_01.img.xz  ''25AE4DCB2B86C8AC00BD571304670BF5'' +
-  * Checksum 2015_R2-2016_04_01.img     ''3298D9FD4104A001C8F72D94FC28304C'' +
-</WRAP> +
- +
-<WRAP lo round download 80%> +
-  * **15 March 2016 release (2015_R2) ** +
-  * [[http://swdownloads.analog.com/cse/2015_R2-2016_03_15.img.xz|Actual file]] +
-  * Checksum 2015_R2-2016_03_15.img.xz  ''F6CCE2437B2CAA54F882B3DF1C49B9E2'' +
-  * Checksum 2015_R2-2016_03_15.img     ''E9BD5C4111C2D9F43FC55F7B44BD10F8'' +
-</WRAP> +
- +
-<WRAP lo round download 80%> +
-  * **22 December 2015 release (2015_R1) ** +
-  * [[http://swdownloads.analog.com/cse/2015_R1-2015_12_22.img.xz|Actual file]] +
-  * Checksum 2015_R1-2015_12_22.img.xz  ''a8f3ed68625043e180c95677123794bd'' +
-  * Checksum 2015_R1-2015_12_22.img     ''fd1e4154e59e7dc62e508a4cdc522db5'' +
-</WRAP> +
- +
-<WRAP lo round download 80%> +
-  * **17 November 2015 release (2015_R1) ** +
-  * [[http://swdownloads.analog.com/cse/2015_R1-2015_11_17.img.xz|Actual file]] +
-  * Checksum 2015_R1-2015_11_17.img.xz  ''19d9d3bb934f7971655475f2a1dd4f07'' +
-  * Checksum 2015_R1-2015_11_17.img     ''827da115f5620bee5feaee52d764af10'' +
-</WRAP> +
- +
-<WRAP lo round download 80%> +
-  * **4 September 2015 release (2015_R1) ** +
-  * [[http://swdownloads.analog.com/cse/2015_R1-2015_09_04.img.xz|Actual file]] +
-  * Checksum 2015_R1-2015_09_04.img.xz  ''3D2377CF5264649C899DD98027E11992'' +
-  * Checksum 2015_R1-2015_09_04.img     ''8B4244D5848E9414FF0B79344F4601F2'' +
-</WRAP> +
- +
-<WRAP lo round download 80%> +
-  * **31 August 2015 release (2015_R1) ** +
-  * [[http://swdownloads.analog.com/cse/2015_R1-2015_08_31.img.xz|Actual file]] +
-  * Checksum 2015_R1-2015_08_31.img.xz  ''EFA6C36E0A79FDDEB2913069EDDD237F'' +
-  * Checksum 2015_R1-2015_08_31.img     ''F7EC381FDF519945C975FF3D2B57AB36'' +
-</WRAP> +
-   +
-<WRAP lo round download 80%> +
-  * **6 February 2015 release (2014_R2) ** +
-  * [[http://swdownloads.analog.com/cse/2014_R2-2015_02_06.img.xz|Actual file]] +
-  * Checksum 2014_R2-2015_02_06.img.xz  ''bb76031fcd68fd9b1a175a2f7fd3e053'' +
-  * Checksum 2014_R2-2015_02_06.img     ''132d03a2888db34f10f0ebbcb3100ae7'' +
-</WRAP> +
-</hidden> +
- +
-Now, depending if you are using Linux or Windows, follow these instructions to write the file to your 8 Gig SD card. +
-    * [[./zynq_images/Linux Hosts]] +
-    * [[./zynq_images/Windows Hosts]] +
-===== Preparing the image =====+
  
 <note warning>If your computer has security restrictions imposed by your company's IT department, which prevent your from writing data to SD-cards (or the data is encrypted when written on the SD-card), then consider using a computer that doesn't have such restrictions, or communicating with your IT department to find a solution.</note> <note warning>If your computer has security restrictions imposed by your company's IT department, which prevent your from writing data to SD-cards (or the data is encrypted when written on the SD-card), then consider using a computer that doesn't have such restrictions, or communicating with your IT department to find a solution.</note>
Line 177: Line 64:
  
 ^ Directory on the SD image ^ Carrier ^ On Carrier Devices ^ Add on card ^ doc ^ ^ Directory on the SD image ^ Carrier ^ On Carrier Devices ^ Add on card ^ doc ^
-socfpga_arria10_socdk_ad9172_fmc | [[https://www.intel.com/content/www/us/en/programmable/products/boards_and_kits/dev-kits/altera/arria-10-soc-development-kit.html|DK-SOC-10AS066S-A]]|  |[[adi>EVAL-AD9172]] | |+socfpga_arria10_socdk_ad9081 | [[https://www.intel.com/content/www/us/en/programmable/products/boards_and_kits/dev-kits/altera/arria-10-soc-development-kit.html|DK-SOC-10AS066S-A]]|  |[[adi>EVAL-ad9081]] | | 
 +| socfpga_arria10_socdk_adrv9002 | [[https://www.intel.com/content/www/us/en/programmable/products/boards_and_kits/dev-kits/altera/arria-10-soc-development-kit.html|DK-SOC-10AS066S-A]]|  |[[adi>EVAL-adrv9002]] | |
 | socfpga_arria10_socdk_adrv9009 | [[https://www.intel.com/content/www/us/en/programmable/products/boards_and_kits/dev-kits/altera/arria-10-soc-development-kit.html|DK-SOC-10AS066S-A]]|  |[[adi>EVAL-ADRV9008-9009]] |[[/resources/eval/user-guides/adrv9009|doc]] | | socfpga_arria10_socdk_adrv9009 | [[https://www.intel.com/content/www/us/en/programmable/products/boards_and_kits/dev-kits/altera/arria-10-soc-development-kit.html|DK-SOC-10AS066S-A]]|  |[[adi>EVAL-ADRV9008-9009]] |[[/resources/eval/user-guides/adrv9009|doc]] |
 | socfpga_arria10_socdk_adrv9371 | [[https://www.intel.com/content/www/us/en/programmable/products/boards_and_kits/dev-kits/altera/arria-10-soc-development-kit.html|DK-SOC-10AS066S-A]]|  |[[adi>EVAL-ADRV9371]] |[[/resources/eval/user-guides/mykonos|doc]] | | socfpga_arria10_socdk_adrv9371 | [[https://www.intel.com/content/www/us/en/programmable/products/boards_and_kits/dev-kits/altera/arria-10-soc-development-kit.html|DK-SOC-10AS066S-A]]|  |[[adi>EVAL-ADRV9371]] |[[/resources/eval/user-guides/mykonos|doc]] |
 +| socfpga_arria10_socdk_cn0506_mii| [[https://www.intel.com/content/www/us/en/programmable/products/boards_and_kits/dev-kits/altera/arria-10-soc-development-kit.html|DK-SOC-10AS066S-A]]|  |[[resources/eval/user-guides/circuits-from-the-lab/cn0506/hdl|CN0506]]| |
 | socfpga_arria10_socdk_daq2 | [[https://www.intel.com/content/www/us/en/programmable/products/boards_and_kits/dev-kits/altera/arria-10-soc-development-kit.html|DK-SOC-10AS066S-A]]|  |[[adi>AD-FMCDAQ2-EBZ]] |[[/resources/eval/user-guides/ad-fmcdaq2-ebz|doc]] | | socfpga_arria10_socdk_daq2 | [[https://www.intel.com/content/www/us/en/programmable/products/boards_and_kits/dev-kits/altera/arria-10-soc-development-kit.html|DK-SOC-10AS066S-A]]|  |[[adi>AD-FMCDAQ2-EBZ]] |[[/resources/eval/user-guides/ad-fmcdaq2-ebz|doc]] |
 | socfpga_arria10_socdk_fmclidar1 | [[https://www.intel.com/content/www/us/en/programmable/products/boards_and_kits/dev-kits/altera/arria-10-soc-development-kit.html|DK-SOC-10AS066S-A]]|  |[[adi>AD-FMCLIDAR1-EBZ]] |[[/resources/eval/user-guides/ad-fmclidar1-ebz|doc]] | | socfpga_arria10_socdk_fmclidar1 | [[https://www.intel.com/content/www/us/en/programmable/products/boards_and_kits/dev-kits/altera/arria-10-soc-development-kit.html|DK-SOC-10AS066S-A]]|  |[[adi>AD-FMCLIDAR1-EBZ]] |[[/resources/eval/user-guides/ad-fmclidar1-ebz|doc]] |
-socfpga_cyclone5_sockit_arradio | [[https://www.arrow.com/en/products/sockit/arrow-development-tools|Intel Cyclone 5 SoC Kit]]|  |[[https://www.terasic.com.tw/cgi-bin/page/archive.pl?Language=English&CategoryNo=65&No=946|Arradio board]] | |+socfpga_arria10_socdk_fmcomms8| [[https://www.intel.com/content/www/us/en/programmable/products/boards_and_kits/dev-kits/altera/arria-10-soc-development-kit.html|DK-SOC-10AS066S-A]]|  |[[adi>EVAL-AD-FMCOMMS8-EBZ]] | | 
 +| socfpga_cyclone5_de10_nano_cn0540 | Intel Cyclone 5 De10 Nano Kit |  |[[adi>design-center/reference-designs/circuits-from-the-lab/cn0540.html|CN0540 board]] | | 
 +| socfpga_cyclone5_sockit_arradio | Intel Cyclone 5 SoC Kit |  | [[https://www.arrow.com/en/products/arradio/terasic-technologies|Arradio board]] | |
 | zynq-adrv9361-z7035-bob | [[adi>ADRV1CRR-BOB]]|  |[[adi>ADRV9361]] |[[/resources/eval/user-guides/adrv9361-z7035|doc]] | | zynq-adrv9361-z7035-bob | [[adi>ADRV1CRR-BOB]]|  |[[adi>ADRV9361]] |[[/resources/eval/user-guides/adrv9361-z7035|doc]] |
 | zynq-adrv9361-z7035-bob-cmos | [[adi>ADRV1CRR-BOB]]|  |[[adi>ADRV9361]] |[[/resources/eval/user-guides/adrv9361-z7035|doc]] | | zynq-adrv9361-z7035-bob-cmos | [[adi>ADRV1CRR-BOB]]|  |[[adi>ADRV9361]] |[[/resources/eval/user-guides/adrv9361-z7035|doc]] |
Line 191: Line 82:
 | zynq-adrv9364-z7020-packrf | [[adi>CN0412|PackRF]]|  |[[adi>ADRV9364]] |[[/resources/eval/user-guides/adrv9361-z7035|doc]] | | zynq-adrv9364-z7020-packrf | [[adi>CN0412|PackRF]]|  |[[adi>ADRV9364]] |[[/resources/eval/user-guides/adrv9361-z7035|doc]] |
 | zynqmp-adrv9009-zu11eg-revb-adrv2crr-fmc-revb | [[adi>ADRV2CRR-FMC]]|  |[[adi>ADRV9009-ZU11EG]] |[[/resources/eval/user-guides/adrv9009-zu11eg|doc]] | | zynqmp-adrv9009-zu11eg-revb-adrv2crr-fmc-revb | [[adi>ADRV2CRR-FMC]]|  |[[adi>ADRV9009-ZU11EG]] |[[/resources/eval/user-guides/adrv9009-zu11eg|doc]] |
 +| zynqmp-adrv9009-zu11eg-revb-adrv2crr-fmc-fmcomms8 | [[adi>ADRV2CRR-FMC]]|  | [[adi>EVAL-AD-FMCOMMS8-EBZ]] |[[/resources/eval/user-guides/adrv9009-zu11eg|doc]] |
 | zynqmp-zcu102-rev10-ad9172-fmc-ebz-mode4 | [[xilinx>ZCU102]]|  |[[adi>EVAL-AD9172]] | | | zynqmp-zcu102-rev10-ad9172-fmc-ebz-mode4 | [[xilinx>ZCU102]]|  |[[adi>EVAL-AD9172]] | |
 | zynqmp-zcu102-rev10-ad9361-fmcomms2-3 | [[xilinx>ZCU102]]|  |[[adi>AD-FMCOMMS2-EBZ]] or [[adi>AD-FMCOMMS3-EBZ]] |[[/resources/eval/user-guides/ad-fmcomms2-ebz|doc]] or [[/resources/eval/user-guides/ad-fmcomms3-ebz|doc]] | | zynqmp-zcu102-rev10-ad9361-fmcomms2-3 | [[xilinx>ZCU102]]|  |[[adi>AD-FMCOMMS2-EBZ]] or [[adi>AD-FMCOMMS3-EBZ]] |[[/resources/eval/user-guides/ad-fmcomms2-ebz|doc]] or [[/resources/eval/user-guides/ad-fmcomms3-ebz|doc]] |
Line 235: Line 127:
 | zynq-zed-adv7511-ad9467-fmc-250ebz | [[http://zedboard.org/product/zedboard|Zed Board]]| [[adi>ADV7511]] |[[adi>AD9467-FMC-250EBZ]] | | | zynq-zed-adv7511-ad9467-fmc-250ebz | [[http://zedboard.org/product/zedboard|Zed Board]]| [[adi>ADV7511]] |[[adi>AD9467-FMC-250EBZ]] | |
 | zynq-zed-adv7511-cn0363 | [[http://zedboard.org/product/zedboard|Zed Board]]| [[adi>ADV7511]] |[[adi>EVAL-CN0363-PMDZ]] | | | zynq-zed-adv7511-cn0363 | [[http://zedboard.org/product/zedboard|Zed Board]]| [[adi>ADV7511]] |[[adi>EVAL-CN0363-PMDZ]] | |
 +| zynq-zed-ad40xx_fmc | [[http://zedboard.org/product/zedboard|Zed Board]] | | [[adi>EVAL-AD400x-FMCZ]] | |
 +| zynq-zed-ad4630 | [[http://zedboard.org/product/zedboard|Zed Board]] | | [[adi>EVAL-AD4630-16]] | |
 +| zynq-zed-ad5758_sdz | [[http://zedboard.org/product/zedboard|Zed Board]] | | [[adi>EVAL-AD5758]] | |
 +| zynq-zed-ad5766_sdz | [[http://zedboard.org/product/zedboard|Zed Board]] | | [[adi>EVAL-AD5766]] | |
 +| zynq-zed-ad7134_fmc | [[http://zedboard.org/product/zedboard|Zed Board]] | | [[adi>EVAL-AD7134FMCZ]] | |
 +| zynq-zed-ad738x_fmc | [[http://zedboard.org/product/zedboard|Zed Board]] | | [[adi>EVAL-AD738xFMCZ]] | |
 +| zynq-zed-ad7405_fmc | [[http://zedboard.org/product/zedboard|Zed Board]] | | [[adi>eval-ad7405]] | |
 +| zynq-zed-ad7616_sdz | [[http://zedboard.org/product/zedboard|Zed Board]] | | [[adi>EVAL-AD7616]] | |
 +| zynq-zed-ad77681evb | [[http://zedboard.org/product/zedboard|Zed Board]] | | | |
 +| zynq-zed-ad7768evb | [[http://zedboard.org/product/zedboard|Zed Board]] | | [[adi>EVAL-CN0363-PMDZ]] | |
 +| zynq-zed-ad9467_fmc | [[http://zedboard.org/product/zedboard|Zed Board]] | | [[adi>EVAL-AD9467]] | |
 +| zynq-zed-adaq7980_sdz | [[http://zedboard.org/product/zedboard|Zed Board]] | | [[adi>EVAL-ADAQ7980]] | |
 +| zynq-zed-adrv9002 | [[http://zedboard.org/product/zedboard|Zed Board]] | | [[adi>eval-adrv9002]] | |
 +| zynq-zed-adrv9002_rx2tx2 | [[http://zedboard.org/product/zedboard|Zed Board]] | | [[adi>eval-adrv9002]] | |
 +| zynq-zed-cn0363 | [[http://zedboard.org/product/zedboard|Zed Board]] | | [[adi>CN0363]] | |
 +| zynq-zed-cn0506_mii | [[http://zedboard.org/product/zedboard|Zed Board]] | |[[resources/eval/user-guides/circuits-from-the-lab/cn0506/hdl|CN0506]]| |
 +| zynq-zed-cn0506_rgmii | [[http://zedboard.org/product/zedboard|Zed Board]] | |[[resources/eval/user-guides/circuits-from-the-lab/cn0506/hdl|CN0506]]| |
 +| zynq-zed-cn0506_rmii| [[http://zedboard.org/product/zedboard|Zed Board]] | |[[resources/eval/user-guides/circuits-from-the-lab/cn0506/hdl|CN0506]]| |
 | zynq-zed-imageon | [[http://zedboard.org/product/zedboard|Zed Board]]| [[adi>ADV7511]] |FMC-IMAGEON | | | zynq-zed-imageon | [[http://zedboard.org/product/zedboard|Zed Board]]| [[adi>ADV7511]] |FMC-IMAGEON | |
 +| zynq-coraz7s-cn0540 | [[https://digilent.com/reference/programmable-logic/cora-z7/start|Cora Z7 Board]]| [[adi>design-center/reference-designs/circuits-from-the-lab/cn0540.html|CN0540 board]] | | 
 +| zynq-coraz7s-cn0501 | [[https://digilent.com/reference/programmable-logic/cora-z7/start|Cora Z7 Board]]| | | 
 +| versal-vck190-ad9081_fmca_ebz | [[xilinx>products/boards-and-kits/vck190.html |VCK190 Board]]| EVAL-AD9081 | |
  
 <WRAP tip>We have heard of some versions of Windows, and some specific SD readers/writers that don't like the FAT parition that we make on the SD Cards - sorry - we have no idea, and have no way to replicate things (that we have found). If it doesn't work for you - try a different windows machine. </WRAP> <WRAP tip>We have heard of some versions of Windows, and some specific SD readers/writers that don't like the FAT parition that we make on the SD Cards - sorry - we have no idea, and have no way to replicate things (that we have found). If it doesn't work for you - try a different windows machine. </WRAP>
Line 249: Line 161:
  
 <note important>For Intel SoC the preloader and bootloader (1M) partition must be updated, see more info on [[resources:tools-software:linux-software:altera_soc_images|Altera SOC Quick Start Guide]]</note> <note important>For Intel SoC the preloader and bootloader (1M) partition must be updated, see more info on [[resources:tools-software:linux-software:altera_soc_images|Altera SOC Quick Start Guide]]</note>
 +
 +<WRAP tip>the U-Boot terminals below are for, well - U-Boot. If you see a kernel booting, you aren't running in U-Boot. This means reset the board, and when the system says "Hit any key to stop autoboot" - hit any key. </WRAP>
  
 First you have to use the default environment from the SD card (otherwise the system may not boot): First you have to use the default environment from the SD card (otherwise the system may not boot):
-  * [[http://www.denx.de/wiki/view/DULG/UBootEnvVariables|U-Boot]] <xterm>**env default -a**+  * [[https://u-boot.readthedocs.io|U-Boot]] <xterm>**env default -a**
 **saveenv**</xterm> **saveenv**</xterm>
  
 Then you need to update the MAC address of the board. For some reason, Xilinx doesn't do this on their boards, and you will not get the proper MAC address. Depending on where to do it: Then you need to update the MAC address of the board. For some reason, Xilinx doesn't do this on their boards, and you will not get the proper MAC address. Depending on where to do it:
-  * [[http://www.denx.de/wiki/view/DULG/UBootEnvVariables|U-Boot]] <xterm>**setenv ethaddr XX:XX:XX:XX:XX:XX** (whatever is on the sticker on the board +  * [[https://u-boot.readthedocs.io|U-Boot]] <xterm>**setenv ethaddr XX:XX:XX:XX:XX:XX** (whatever is on the sticker on the board 
-**save** +**saveenv** 
 **reset**</xterm> **reset**</xterm>
   * [[http://en.wikibooks.org/wiki/Changing_Your_MAC_Address/Linux|Linux kernel]] <xterm>**ifconfig eth2 down**   * [[http://en.wikibooks.org/wiki/Changing_Your_MAC_Address/Linux|Linux kernel]] <xterm>**ifconfig eth2 down**
 **ifconfig eth2 hw ether XX:XX:XX:XX:XX:XX** (what ever is on the sticker on the board) **ifconfig eth2 hw ether XX:XX:XX:XX:XX:XX** (what ever is on the sticker on the board)
 **ifconfig eth2 up** </xterm> **ifconfig eth2 up** </xterm>
- 
-The U-Boot and Linux kernel variables can be set through uEnv.txt (this file is located on the BOOT partition of the card). This file is required for correct operation. When creating the uEnv.txt file make sure that the end-of-line encoding is configured for newline (LF) and not carriage return + newline (CRLF), since uboot is not able to handle the carriage return character. 
- 
-<code> 
-uenvcmd=run adi_sdboot 
-adi_sdboot=echo Copying Linux from SD to RAM... && fatload mmc 0 0x3000000 ${kernel_image} && fatload mmc 0 0x2A00000 ${devicetree_image} && if fatload mmc 0 0x2000000 ${ramdisk_image}; then bootm 0x3000000 0x2000000 0x2A00000; else bootm 0x3000000 - 0x2A00000; fi 
-bootargs=console=ttyPS0,115200 root=/dev/mmcblk0p2 rw earlyprintk rootfstype=ext4 rootwait 
-</code> 
- 
-{{:resources:tools-software:linux-software:uenv.png?500|}} 
  
 <WRAP info> <WRAP info>
Line 276: Line 180:
 These boot messages may change based on your specific platform. These boot messages may change based on your specific platform.
 \\ \\
-</WRAP><xterm>+</WRAP> 
 +<hidden **Complete Boot Log** (Click to expand)> 
 +<code>
 rgetz@brain:~/newest$ kermit -l /dev/ttyACM0 -b 115200  -c rgetz@brain:~/newest$ kermit -l /dev/ttyACM0 -b 115200  -c
 Connecting to /dev/ttyACM0, speed 115200 Connecting to /dev/ttyACM0, speed 115200
Line 284: Line 190:
 ---------------------------------------------------- ----------------------------------------------------
  
-U-Boot 2014.07-dirty (Nov 20 2014 17:07:55)+U-Boot SPL 2021.07-16360-gee63370553-dirty (Jun 09 2022 23:13:35 +0300) 
 +FPGA: Checking FPGA configuration setting ... 
 +FPGA: Start to program peripheral/full bitstream ... 
 +FPGA: Early Release Succeeded. 
 +FPGA: Checking FPGA configuration setting ... 
 +FPGA: Start to program peripheral/full bitstream ... 
 +FPGA: Early Release Succeeded. 
 + 
 +U-Boot SPL 2021.07-16360-gee63370553-dirty (Jun 09 2022 - 23:13:35 +0300) 
 +DDRCAL: Success 
 +FPGA: Checking FPGA configuration setting ... 
 +FPGA: Start to program core bitstream ... 
 +Full Configuration Succeeded. 
 +FPGA: Enter user mode. 
 +WDT:   Started with servicing (10s timeout) 
 +Trying to boot from MMC1 
 + 
 +U-Boot 2021.07-16360-gee63370553-dirty (Jun 09 2022 - 23:13:35 +0300)socfpga_arria10, Build: jenkins-master-quartus_boot_on_ubuntu_master-97
  
-Board:  Xilinx Zynq +CPU:   Altera SoCFPGA Arria 10 
-I2C  ready +BOOT:  SD/MMC External Transceiver (1.8V) 
-DRAM:  ECC disabled 1 GiB +ModelAltera SOCFPGA Arria 10 
-MMC:   zynq_sdhci: 0 +DRAM:  1 GiB 
-SF: Detected N25Q128A with page size 256 Bytes, erase size 64 KiB, total 16 MiB+WDT:   Started with servicing (10s timeout) 
 +MMC:   dwmmc0@ff808000: 0 
 +Loading Environment from MMC... OK
 In:    serial In:    serial
 Out:   serial Out:   serial
 Err:   serial Err:   serial
-Net:   Gem.e000b000+Model: Altera SOCFPGA Arria 10 
 +Net:   eth0: ethernet@ff800000
 Hit any key to stop autoboot:  0 Hit any key to stop autoboot:  0
-Copying Linux from SD to RAM... +150 bytes read in ms (36.1 KiB/s) 
-Device: zynq_sdhci +## Executing script at 02100000 
-Manufacturer ID: 3 +Failed to load 'soc_system.rbf' 
-OEM: 5344 +Full Configuration Succeeded. 
-Name: SU08G +FPGA: Enter user mode. 
-Tran Speed: 50000000 +15038392 bytes read in 730 ms (19.6 MiB/s) 
-Rd Block Len: 512 +fpga - loadable FPGA image support
-SD version 3.0 +
-High Capacity: Yes +
-Capacity: 7.4 GiB +
-Bus Width: 4-bit +
-reading uImage +
-3194640 bytes read in 283 ms (10.8 MiB/s) +
-reading devicetree.dtb +
-18214 bytes read in 17 ms (MiB/s) +
-reading uramdisk.image.gz +
-** Unable to read file uramdisk.image.gz ** +
-## Booting kernel from Legacy Image at 03000000 ... +
-   Image Name:   Linux-3.17.0-gdf1ca8f +
-   Image Type:   ARM Linux Kernel Image (uncompressed) +
-   Data Size:    3194576 Bytes = 3 MiB +
-   Load Address: 00008000 +
-   Entry Point:  00008000 +
-   Verifying Checksum ... OK +
-## Flattened Device Tree blob at 02a00000 +
-   Booting using the fdt blob at 0x2a00000 +
-   Loading Kernel Image ... OK +
-   Loading Device Tree to 1fff8000, end 1ffff725 ... OK+
  
 +Usage:
 +fpga [operation type] [device number] [image address] [image size]
 +fpga operations:
 +  dump  [dev] [address] [size]  Load device to memory buffer
 +  info  [dev]                   list known device information
 +  load  [dev] [address] [size]  Load device from memory buffer
 +  loadb [dev] [address] [size]  Load device from bitstream buffer (Xilinx only)
 +  loadmk [dev] [address]        Load device generated with mkimage
 +        For loadmk operating on FIT format uImage address must include
 +        subimage unit name in the form of addr:<subimg_uname>
 +switch to partitions #0, OK
 +mmc0 is current device
 +Scanning mmc 0:1...
 +Found /extlinux/extlinux.conf
 +Retrieving file: /extlinux/extlinux.conf
 +162 bytes read in 5 ms (31.3 KiB/s)
 +1:      Linux Default
 +Retrieving file: /extlinux/../zImage
 +8289256 bytes read in 408 ms (19.4 MiB/s)
 +append: root=/dev/mmcblk0p2 rw rootwait earlyprintk console=ttyS0,115200n8
 +Retrieving file: /extlinux/../socfpga_arria10_socdk_sdmmc.dtb
 +30586 bytes read in 9 ms (3.2 MiB/s)
 +Kernel image @ 0x1000000 [ 0x000000 - 0x7e7be8 ]
 +## Flattened Device Tree blob at 02000000
 +   Booting using the fdt blob at 0x2000000
 +   Loading Device Tree to 09ff5000, end 09fff779 ... OK
 Starting kernel ... Starting kernel ...
  
-Uncompressing Linux... done, booting the kernel. +Deasserting all peripheral resets 
-Booting Linux on physical CPU 0x0 +[    0.000000] Booting Linux on physical CPU 0x0 
-Linux version 3.17.0-gdf1ca8f (buildserver-cj1@buildserver-cj1) (gcc version 4.8.1 (Sourcery CodeBench Lite 2013.11-33) ) #1 SMP PREEMPT Fri Dec 12 11:39:41 EET 2014 +[    0.000000] Linux version 5.10.0-98183-gf814ae972859-dirty (liviu@LADACE-Debian) (arm-none-linux-gnueabihf-gcc (GNU Toolchain for the A-profile Architecture 10.2-2020.11 (arm-10.16)) 10.2.1 20201103, GNU ld (GNU Toolchain for the A-profile Architecture 10.2-2020.11 (arm-10.16)) 2.35.1.20201028) #27 SMP Wed May 25 16:01:50 EEST 2022 
-CPU: ARMv7 Processor [413fc090] revision (ARMv7), cr=18c5387d +[    0.000000] CPU: ARMv7 Processor [414fc091] revision (ARMv7), cr=10c5387d 
-CPU: PIPT / VIPT nonaliasing data cache, VIPT aliasing instruction cache +[    0.000000] CPU: PIPT / VIPT nonaliasing data cache, VIPT aliasing instruction cache 
-Machine model: Xilinx Zynq ZC702 +[    0.000000] OF: fdt: Machine model: Altera SOCFPGA Arria 10 
-bootconsole [earlycon0] enabled +[    0.000000] printk: bootconsole [earlycon0] enabled 
-cma: Reserved 128 MiB at 27800000 +[    0.000000] Memory policy: Data cache writealloc 
-Memory policyData cache writealloc +[    0.000000] cma: Reserved 128 MiB at 0x38000000 
-PERCPU: Embedded pages/cpu @e6f95000 s8064 r8192 d12416 u32768 +[    0.000000] Zone ranges
-Built 1 zonelists in Zone order, mobility grouping on.  Total pages: 260624 +[    0.000000]   Normal   [mem 0x0000000000000000-0x000000002fffffff] 
-Kernel command line: console=ttyPS0,115200 root=/dev/mmcblk0p2 rw earlyprintk rootfstype=ext4 rootwait +[    0.000000]   HighMem  [mem 0x0000000030000000-0x000000003fffffff] 
-PID hash table entries: 4096 (order: 216384 bytes) +[    0.000000] Movable zone start for each node 
-Dentry cache hash table entries: 131072 (order: 7, 524288 bytes) +[    0.000000] Early memory node ranges 
-Inode-cache hash table entries: 65536 (order: 6, 262144 bytes) +[    0.000000]   node   0: [mem 0x0000000000000000-0x000000003fffffff] 
-Memory: 901608K/1048576K available (4241K kernel code, 241K rwdata, 1636K rodata, 199K init, 134K bss, 146968K reserved, 270336K highmem) +[    0.000000] Initmem setup node 0 [mem 0x0000000000000000-0x000000003fffffff] 
-Virtual kernel memory layout: +[    0.000000] percpu: Embedded 19 pages/cpu s45324 r8192 d24308 u77824 
-    vector  0xffff0000 0xffff1000     4 kB) +[    0.000000] Built 1 zonelists, mobility grouping on.  Total pages: 260608 
-    fixmap  0xffc00000 - 0xffe00000   (2048 kB) +[    0.000000] Kernel command line: root=/dev/mmcblk0p2 rw rootwait earlyprintk console=ttyS0,115200n8 
-    vmalloc 0xf0000000 - 0xff000000   ( 240 MB) +[    0.000000] Dentry cache hash table entries: 131072 (order: 7, 524288 bytes, linear
-    lowmem  0xc0000000 - 0xef800000   ( 760 MB) +[    0.000000] Inode-cache hash table entries: 65536 (order: 6, 262144 bytes, linear
-    pkmap   0xbfe00000 - 0xc0000000     2 MB) +[    0.000000] mem auto-init: stack:off, heap alloc:off, heap free:off 
-    modules : 0xbf000000 - 0xbfe00000    14 MB) +[    0.000000] Memory: 884076K/1048576K available (13312K kernel code, 1284K rwdata, 7440K rodata, 1024K init, 348K bss, 33428K reserved, 131072K cma-reserved, 131072K highmem) 
-      .text : 0xc0008000 - 0xc05c5824   (5879 kB) +   0.000000] SLUBHWalign=64, Order=0-3, MinObjects=0, CPUs=2, Nodes=1 
-      .init 0xc05c6000 - 0xc05f7f80   ( 200 kB) +   0.000000] ftraceallocating 42085 entries in 83 pages 
-      .data : 0xc05f8000 - 0xc06344a0   ( 242 kB) +   0.000000] ftraceallocated 83 pages with 4 groups 
-       .bss : 0xc06344a0 - 0xc0655f20   ( 135 kB) +   0.000000] rcuHierarchical RCU implementation. 
-Preemptible hierarchical RCU implementation. +   0.000000] rcu    RCU event tracing is enabled. 
-        Dump stacks of tasks blocking RCU-preempt GP+   0.000000]  Rude variant of Tasks RCU enabled
-        RCU restricting CPUs from NR_CPUS=4 to nr_cpu_ids=2. +[    0.000000] rcu: RCU calculated value of scheduler-enlistment delay is 10 jiffies
-RCUAdjusting geometry for rcu_fanout_leaf=16, nr_cpu_ids=2 +[    0.000000] NR_IRQS: 16, nr_irqs: 16, preallocated irqs: 16 
-NR_IRQS:16 nr_irqs:16 16 +[    0.000000] L2C-310 erratum 769419 enabled 
-L2C: platform provided aux values match the hardware, so have no effect Please remove them. +[    0.000000] L2C-310 enabling early BRESP for Cortex-A9 
-L2C-310 erratum 769419 enabled +[    0.000000] L2C-310: enabling full line of zeros but not enabled in Cortex-A9 
-L2C-310 enabling early BRESP for Cortex-A9 +[    0.000000] L2C-310 ID prefetch enabled, offset 1 lines 
-L2C-310 full line of zeros enabled for Cortex-A9 +[    0.000000] L2C-310 dynamic clock gating enabled, standby mode enabled 
-L2C-310 ID prefetch enabled, offset 1 lines +[    0.000000] L2C-310 cache controller enabled, 8 ways, 512 kB 
-L2C-310 dynamic clock gating enabled, standby mode enabled +[    0.000000] L2C-310: CACHE_ID 0x410030c9, AUX_CTRL 0x76560001 
-L2C-310 cache controller enabled, 8 ways, 512 kB +[    0.000000] random: get_random_bytes called from start_kernel+0x39c/0x558 with crng_init=0 
-L2C-310: CACHE_ID 0x410000c8, AUX_CTRL 0x76360001 +[    0.000000] clocksourcetimer1: mask: 0xffffffff max_cycles: 0xffffffff, max_idle_ns: 19112604467 ns 
-slcr mapped to f0006000 +[    0.000005] sched_clock: 32 bits at 100MHz, resolution 10ns, wraps every 21474836475ns 
-zynq_clock_initclkc starts at f0006100 +[    0.007886] Switching to timer-based delay loopresolution 10ns 
-Zynq clock init +[    0.014168] Console: colour dummy device 80x30 
-sched_clock: 16 bits at 54kHz, resolution 18432ns, wraps every 1207951633ns +[    0.018614] Calibrating delay loop (skipped), value calculated using timer frequency.. 200.00 BogoMIPS (lpj=1000000
-timer #at f0008000irq=43 +[    0.029098] pid_max: default: 32768 minimum: 301 
-Console: colour dummy device 80x30 +[    0.033798] Mount-cache hash table entries: 2048 (order: 1, 8192 bytes, linear
-Calibrating delay loop... 1332.01 BogoMIPS (lpj=6660096+[    0.041076] Mountpoint-cache hash table entries: 2048 (order: 1, 8192 bytes, linear
-pid_max: default: 32768 minimum: 301 +[    0.049358] CPU: Testing write buffer coherency: ok 
-Mount-cache hash table entries: 2048 (order: 1, 8192 bytes) +[    0.054259] CPU0: Spectre v2: using BPIALL workaround 
-Mountpoint-cache hash table entries: 2048 (order: 1, 8192 bytes) +[    0.059451] CPU0: thread -1, cpu 0, socket 0, mpidr 80000000 
-CPU: Testing write buffer coherency: ok +[    0.065541] Setting up static identity map for 0x100000 0x100060 
-CPU0: thread -1, cpu 0, socket 0, mpidr 80000000 +[    0.071799] rcuHierarchical SRCU implementation. 
-Setting up static identity map for 0x402468 0x4024c0 +[    0.076836] smp: Bringing up secondary CPUs ... 
-CPU1Booted secondary processor +[    0.081933] CPU1: thread -1, cpu 1, socket 0, mpidr 80000001 
-CPU1: thread -1, cpu 1, socket 0, mpidr 80000001 +[    0.081940] CPU1: Spectre v2: using BPIALL workaround 
-Brought up 2 CPUs +[    0.092713] smp: Brought up 1 node, 2 CPUs 
-SMP: Total of 2 processors activated. +[    0.096792] SMP: Total of 2 processors activated (400.00 BogoMIPS)
-CPU: All CPU(s) started in SVC mode. +[    0.103043] CPU: All CPU(s) started in SVC mode. 
-devtmpfs: initialized +[    0.108126] devtmpfs: initialized 
-VFP support v0.3: implementor 41 architecture 3 part 30 variant 9 rev 4 +[    0.115824] VFP support v0.3: implementor 41 architecture 3 part 30 variant 9 rev 4 
-regulator-dummyno parameters +[    0.123762] clocksourcejiffies: mask: 0xffffffff max_cycles: 0xffffffff, max_idle_ns: 19112604462750000 ns 
-NET: Registered protocol family 16 +[    0.133573] futex hash table entries: 512 (order: 3, 32768 bytes, linear) 
-DMA: preallocated 256 KiB pool for atomic coherent allocations +[    0.144486] NET: Registered protocol family 16 
-cpuidle: using governor ladder +[    0.150612] DMA: preallocated 256 KiB pool for atomic coherent allocations 
-cpuidle: using governor menu +   0.158377hw-breakpoint: found 5 (+1 reserved) breakpoint and 1 watchpoint registers. 
-bootconsole [earlycon0disabled +   0.166360hw-breakpoint: maximum watchpoint size is 4 bytes. 
-bootconsole [earlycon0disabled +[    0.178562] OF/soc/gpio@ffc02a00/gpio-controller@0: could not get #gpio-cells for /soc/clkmgr@ffd04000/clocks/l4_sp_clk 
-xdevcfg f8007000.devcfgioremap 0xf8007000 to f001c000 +   0.191504] OF: /soc/gpio@ffc02a00/gpio-controller@0: could not get #gpio-cells for /soc/clkmgr@ffd04000/clocks/l4_sp_clk 
-[drm] Initialized drm 1.1.0 20060810 +[    0.212418] vgaarbloaded 
-drivers/gpu/drm/adi_axi_hdmi/axi_hdmi_drv.c:axi_hdmi_platform_probe[175+   0.215392SCSI subsystem initialized 
-platform 70e00000.axi_hdmiDriver axi-hdmi requests probe deferral +[    0.219290] usbcoreregistered new interface driver usbfs 
-brdmodule loaded +[    0.224797] usbcoreregistered new interface driver hub 
-loopmodule loaded +[    0.230122] usbcoreregistered new device driver usb 
-libphyXEMACPS mii busprobed +[    0.235284] usb_phy_generic soc:usbphysupply vcc not found, using dummy regulator 
-xemacps e000b000.ethpdev->id -1, baseaddr 0xe000b000, irq 54 +[    0.245476] mcLinux media interface: v0.10 
-ehci_hcdUSB 2.0 'Enhanced' Host Controller (EHCI) Driver +[    0.249753] videodevLinux video capture interface: v2.00 
-ULPI transceiver vendor/product ID 0x0424/0x0007 +[    0.255312] pps_core: LinuxPPS API ver. 1 registered 
-Found SMSC USB3320 ULPI transceiver+[    0.260254] pps_core: Software ver. 5.3.6 - Copyright 2005-2007 Rodolfo Giometti <giometti@linux.it> 
-ULPI integrity checkpassed+[    0.269376] PTP clock support registered 
-zynq-ehci zynq-ehci.0: Xilinx Zynq USB EHCI Host Controller +[    0.273547] jesd204found 0 devices and 0 topologies 
-zynq-ehci zynq-ehci.0: new USB bus registeredassigned bus number 1 +[    0.278599] FPGA manager framework 
-zynq-ehci zynq-ehci.0: irq 53io mem 0x00000000 +[    0.282059] Advanced Linux Sound Architecture Driver Initialized. 
-zynq-ehci zynq-ehci.0: USB 2.0 startedEHCI 1.00 +[    0.289059] clocksourceSwitched to clocksource timer1 
-hub 1-0:1.0: USB hub found +[    0.814051] NET: Registered protocol family 2 
-hub 1-0:1.0: 1 port detected +[    0.818906] tcp_listen_portaddr_hash hash table entries512 (order: 06144 bytes, linear) 
-usbcoreregistered new interface driver usb-storage +[    0.827312] TCP established hash table entries: 8192 (order: 3, 32768 bytes, linear) 
-usbcoreregistered new interface driver usbserial +[    0.835085] TCP bind hash table entries8192 (order: 465536 bytes, linear) 
-usbcoreregistered new interface driver usbserial_generic +[    0.842298] TCP: Hash tables configured (established 8192 bind 8192) 
-usbserial: USB Serial support registered for generic +[    0.848723] UDP hash table entries: 512 (order: 2, 16384 bytes, linear) 
-usbcore: registered new interface driver ftdi_sio +[    0.855377] UDP-Lite hash table entries: 512 (order: 2, 16384 bytes, linear) 
-usbserial: USB Serial support registered for FTDI USB Serial Device +[    0.862577] NETRegistered protocol family 1 
-mousedevPS/mouse device common for all mice +[    0.867321] RPC: Registered named UNIX socket transport module. 
-i2c /dev entries driver +[    0.873246] RPCRegistered udp transport module. 
-i2c i2c-0: Added multiplexed i2c bus 1 +[    0.877928] RPCRegistered tcp transport module. 
-i2c i2c-0: Added multiplexed i2c bus 2 +[    0.882620] RPCRegistered tcp NFSv4.backchannel transport module. 
-i2c i2c-0: Added multiplexed i2c bus 3 +[    0.889045] PCICLS 0 bytes, default 64 
-i2c i2c-0: Added multiplexed i2c bus 4 +[    0.894135] workingsettimestamp_bits=30 max_order=18 bucket_order=0 
-rtc-pcf8563 5-0051chip found, driver version 0.4.3 +[    0.905441] NFSRegistering the id_resolver key type 
-rtc (null): invalid alarm value2014-12-17 31:34:0 +[    0.910533] Key type id_resolver registered 
-rtc-pcf8563 5-0051rtc core: registered rtc-pcf8563 as rtc0 +[    0.914697] Key type id_legacy registered 
-i2c i2c-0: Added multiplexed i2c bus 5 +[    0.918694] Installing knfsd (copyright (C) 1996 okir@monad.swb.de). 
-at24 6-0050256 byte 24c02 EEPROM, writable, bytes/write +[    0.925534] ntfsdriver 2.1.32 [Flags: R/W]. 
-i2c i2c-0: Added multiplexed i2c bus 6 +[    0.930026] jffs2version 2.2. (NAND) © 2001-2006 Red Hat, Inc. 
-i2c i2c-0: Added multiplexed i2c bus 7 +[    0.936524] fuseinit (API version 7.32) 
-i2c i2c-0Added multiplexed i2c bus 8 +[    0.940868] bouncepool size: 64 pages 
-pca954x 0-0074registered 8 multiplexed busses for I2C switch pca9548 +[    0.944693] io scheduler mq-deadline registered 
-zynq-edac f8006000.ps7-ddrcecc not enabled +[    0.949230] io scheduler kyber registered 
-Xilinx Zynq CpuIdle Driver started +[    0.957511] dma-pl330 ffda1000.pdmaLoaded driver for PL330 DMAC-341330 
-sdhciSecure Digital Host Controller Interface driver +[    0.964223] dma-pl330 ffda1000.pdma:         DBUFF-512x8bytes Num_Chans-8 Num_Peri-32 Num_Events-8 
-sdhci: Copyright(c) Pierre Ossman +[    0.974943] Serial8250/16550 driver, 2 ports, IRQ sharing disabled 
-sdhci-pltfmSDHCI platform and OF driver helper +[    0.982120] printk: console [ttyS0] disabled 
-sdhci-arasan e0100000.sdhciNo vmmc regulator found +[    0.986427] ffc02100.serial1: ttyS0 at MMIO 0xffc02100 (irq = 45, base_baud = 6250000is a 16550A 
-sdhci-arasan e0100000.sdhciNo vqmmc regulator found +[    0.995416] printkconsole [ttyS0] enabled 
-mmc0Invalid maximum block sizeassuming 512 bytes +[    0.995416] printkconsole [ttyS0] enabled 
-mmc0SDHCI controller on e0100000.sdhci [e0100000.sdhciusing ADMA +[    1.003753] printkbootconsole [earlycon0] disabled 
-ledtrig-cpuregistered to indicate activity on CPUs +[    1.003753] printkbootconsole [earlycon0] disabled 
-hidrawraw HID events driver (CJiri Kosina +[    1.015314] brdmodule loaded 
-usbcore: registered new interface driver usbhid +[    1.018644] at24 0-0051supply vcc not found, using dummy regulator 
-usbhidUSB HID core driver +[    1.026304] at24 0-00514096 byte 24c32 EEPROM, writable, 32 bytes/write 
-platform 79020000.cf-ad9361-lpcDriver cf_axi_adc requests probe deferral +[    1.034084] spi_altera ff200040.spi: regoff 0, irq 48 
-ad9361 spi32766.0: ad9361_probe : enter +[    1.040511] altr_a10sr_gpio altr_a10sr_gpio.0.autoDMA mask not set 
-mmc0: new high speed SDHC card at address e624 +[    1.047986] libphyFixed MDIO Bus: probed 
-mmcblk0: mmc0:e624 SU08G 7.40 GiB +[    1.052567] CAN device driver interface 
- mmcblk0p1 p2 p3 +[    1.056629] socfpga-dwmac ff800000.ethernetIRQ eth_wake_irq not found 
-usb 1-1: new full-speed USB device number 2 using zynq-ehci +[    1.063246] socfpga-dwmac ff800000.ethernetIRQ eth_lpi not found 
-ad9361 spi32766.0ad9361_probe : AD9361 Rev 2 successfully initialized +[    1.069526] socfpga-dwmac ff800000.ethernetNo sysmgr-syscon node found 
-spi32765.supply vcc not found, using dummy regulator +[    1.076198] socfpga-dwmac ff800000.ethernetUnable to parse OF data 
-spi32765.1 supply vcc not found, using dummy regulator +[    1.082581] socfpga-dwmac: probe of ff800000.ethernet failed with error -524 
-inputMicrosoft Microsoft® 2.4GHz Transceiver v8.0 as /devices/soc0/amba@0/e0002000.usb/zynq-ehci.0/usb1/1-1/1-1:1.0/0003:045E:0745.0001/input/input0 +[    1.089769] stmmaceth ff800000.ethernetIRQ eth_wake_irq not found 
-cf_axi_dds 79024000.cf-ad9361-dds-core-lpcAnalog Devices CF_AXI_DDS_DDS MASTER (8.00.b) at 0x79024000 mapped to 0xf0052000, probed DDS AD9361 +[    1.096011] stmmaceth ff800000.ethernetIRQ eth_lpi not found 
-adv7511-hdmi-snd fpga-axi@0:adv7511_hdmi_sndadv7511 <-> 75c00000.axi-spdif-tx mapping ok +[    1.102086] stmmaceth ff800000.ethernetUser ID: 0x10Synopsys ID: 0x37 
-TCPcubic registered +[    1.108850] stmmaceth ff800000.ethernet    DWMAC1000 
-NETRegistered protocol family 17 +[    1.113722] stmmaceth ff800000.ethernet: DMA HW capability register supported 
-Registering SWP/SWPB emulation handler +   1.120841stmmaceth ff800000.ethernet: RX Checksum Offload Engine supported 
-hid-generic 0003:045E:0745.0001input,hidraw0: USB HID v1.11 Keyboard [Microsoft Microsoft® 2.4GHz Transceiver v8.0on usb-zynq-ehci.0-1/input0 +[    1.127943] stmmaceth ff800000.ethernetCOE Type 2 
-inputMicrosoft Microsoft® 2.4GHz Transceiver v8.0 as /devices/soc0/amba@0/e0002000.usb/zynq-ehci.0/usb1/1-1/1-1:1.1/0003:045E:0745.0002/input/input1 +[    1.132807] stmmaceth ff800000.ethernetTX Checksum insertion supported 
-hid-generic 0003:045E:0745.0002input,hidraw1: USB HID v1.11 Mouse [Microsoft Microsoft® 2.4GHz Transceiver v8.0on usb-zynq-ehci.0-1/input1 +[    1.139484] stmmaceth ff800000.ethernet: Enhanced/Alternate descriptors 
-inputMicrosoft Microsoft® 2.4GHz Transceiver v8.0 as /devices/soc0/amba@0/e0002000.usb/zynq-ehci.0/usb1/1-1/1-1:1.2/0003:045E:0745.0003/input/input2 +[    1.146068] stmmaceth ff800000.ethernet: Enabled extended descriptors 
-Consoleswitching to colour frame buffer device 240x67 +[    1.152490] stmmaceth ff800000.ethernet: Ring mode enabled 
-inputfailed to attach handler kbd to device input2, error-16 +[    1.157950] stmmaceth ff800000.ethernet: Enable RX Mitigation via HW Watchdog Timer 
-hid-generic 0003:045E:0745.0003input,hiddev0,hidraw2USB HID v1.11 Device [Microsoft Microsoft® 2.4GHz Transceiver v8.0on usb-zynq-ehci.0-1/input2 +[    1.173670] libphy: stmmac: probed 
-axi-hdmi 70e00000.axi_hdmifb0 frame buffer device +[    1.177073] Micrel KSZ9031 Gigabit PHY stmmac-0:07: attached PHY driver [Micrel KSZ9031 Gigabit PHY] (mii_bus:phy_addr=stmmac-0:07, irq=POLL
-axi-hdmi 70e00000.axi_hdmiregistered panic notifier +[    1.190760] usbcore: registered new interface driver asix 
-[drm] Initialized axi_hdmi_drm 1.0.0 20120930 on minor 0 +[    1.196178] usbcoreregistered new interface driver ax88179_178a 
-cf_axi_adc 79020000.cf-ad9361-lpc: ADI AIM (8.00.b) at 0x79020000 mapped to 0xf0078000, probed ADC AD9361 as MASTER +[    1.202304] usbcoreregistered new interface driver cdc_ether 
-rtc-pcf8563 5-0051setting system clock to 2014-12-15 11:39:39 UTC (1418643579) +[    1.208130] usbcoreregistered new interface driver net1080 
-ALSA device list: +[    1.213804] usbcoreregistered new interface driver cdc_subset 
-  #0HDMI monitor +[    1.219725] usbcoreregistered new interface driver zaurus 
-random: nonblocking pool is initialized +[    1.225310] usbcoreregistered new interface driver cdc_ncm 
-EXT4-fs (mmcblk0p2): recovery complete +[    1.231429] dwc2 ffb00000.usb: supply vusb_d not found, using dummy regulator 
-EXT4-fs (mmcblk0p2): mounted filesystem with ordered data mode. Opts: (null) +[    1.238653] dwc2 ffb00000.usb: supply vusb_a not found, using dummy regulator 
-VFS: Mounted root (ext4 filesystem) on device 179:2. +[    1.246013] dwc2 ffb00000.usbEPs: 16, dedicated fifos, 8064 entries in SPRAM 
-devtmpfs: mounted +[    1.253648] dwc2 ffb00000.usb: DWC OTG Controller 
-Freeing unused kernel memory: 196K (c05c6000 - c05f7000) +[    1.258354] dwc2 ffb00000.usb: new USB bus registered, assigned bus number 1 
-Mount failed for selinuxfs on /sys/fs/selinux:  No such file or directory +[    1.265430] dwc2 ffb00000.usb: irq 46, io mem 0xffb00000 
- * Setting up X socket directories...                                    OK +[    1.270874] usb usb1: New USB device found, idVendor=1d6b, idProduct=0002, bcdDevice= 5.10 
- * Starting IIO Daemon iiod                                              OK ]+[    1.279113] usb usb1: New USB device strings: Mfr=3, Product=2, SerialNumber=1 
 +[    1.286304] usb usb1Product: DWC OTG Controller 
 +[    1.290994] usb usb1ManufacturerLinux 5.10.0-98183-gf814ae972859-dirty dwc2_hsotg 
 +[    1.298787] usb usb1SerialNumber: ffb00000.usb 
 +[    1.303852] hub 1-0:1.0USB hub found 
 +[    1.307616] hub 1-0:1.0: 1 port detected 
 +[    1.312338] ehci_hcd: USB 2.0 'Enhanced' Host Controller (EHCI) Driver 
 +[    1.318839] ehci-pci: EHCI PCI platform driver 
 +[    1.323776] usbcore: registered new interface driver uas 
 +[    1.329160] usbcoreregistered new interface driver usb-storage 
 +[    1.335214] usbcore: registered new interface driver usbserial_generic 
 +[    1.341746] usbserial: USB Serial support registered for generic 
 +[    1.347745] usbcoreregistered new interface driver ftdi_sio 
 +[    1.353494] usbserialUSB Serial support registered for FTDI USB Serial Device 
 +[    1.360844] usbcoreregistered new interface driver upd78f0730 
 +[    1.366749] usbserial: USB Serial support registered for upd78f0730 
 +   1.376546rtc-ds1307 0-0068: SET TIME! 
 +[    1.384754] rtc-ds1307 0-0068: registered as rtc0 
 +[    1.389543] i2c /dev entries driver 
 +[    1.393645] usbcoreregistered new interface driver uvcvideo 
 +[    1.399381] USB Video Class driver (1.1.1) 
 +[    1.407645] ltc2978: probe of 0-005c failed with error -121 
 +[    1.413942] Synopsys Designware Multimedia Card Interface Driver 
 +[    1.420180] dw_mmc ff808000.dwmmc0: IDMAC supports 32-bit address mode. 
 +[    1.426844] dw_mmc ff808000.dwmmc0Using internal DMA controller. 
 +[    1.433036] dw_mmc ff808000.dwmmc0: Version ID is 270a 
 +[    1.438197] dw_mmc ff808000.dwmmc0DW MMC controller at irq 41,32 bit host data width,1024 deep fifo 
 +[    1.447540] mmc_host mmc0card is polling
 +[    1.453462] ledtrig-cpuregistered to indicate activity on CPUs 
 +[    1.459576] usbcoreregistered new interface driver usbhid 
 +[    1.464345] mmc_host mmc0Bus speed (slot 0) = 50000000Hz (slot req 400000Hzactual 396825HZ div = 63) 
 +[    1.465125] usbhid: USB HID core driver 
 +[    1.490963] fpga_manager fpga0: SoCFPGA Arria10 FPGA Manager registered 
 +   1.498177usbcore: registered new interface driver snd-usb-audio 
 +[    1.506251] NET: Registered protocol family 10 
 +[    1.511420] Segment Routing with IPv6 
 +[    1.515131] sitIPv6, IPv4 and MPLS over IPv4 tunneling driver 
 +[    1.521517] NET: Registered protocol family 17 
 +[    1.525961] NET: Registered protocol family 15 
 +[    1.530557] can: controller area network core 
 +[    1.534941] NET: Registered protocol family 29 
 +[    1.539395] can: raw protocol 
 +[    1.542352] can: broadcast manager protocol 
 +[    1.546521] can: netlink gateway max_hops=1 
 +[    1.551013] 8021q802.1Q VLAN Support v1.8 
 +[    1.552310] mmc_host mmc0Bus speed (slot 0) = 50000000Hz (slot req 50000000Hz, actual 50000000HZ div = 0) 
 +[    1.555215] NETRegistered protocol family 36 
 +[    1.564943] mmc0new high speed SDHC card at address aaaa 
 +[    1.569338] Key type dns_resolver registered 
 +[    1.575303] mmcblk0mmc0:aaaa SC32G 29.7 GiB 
 +[    1.579361] oprofileno performance counters 
 +[    1.587935] oprofileusing timer interrupt. 
 +   1.592299ThumbEE CPU extension supported. 
 +[    1.592852]  mmcblk0: p1 p2 p3 
 +[    1.596566] Registering SWP/SWPB emulation handler 
 +[    1.628855] adrv9002 spi0.0adrv9002_setup, 2804failed with "Failed to reset device and set SPI Config" (3) 
 +[    1.639954] adrv9002 spi0.0adrv9002_setup, 2804: failed with "Failed to reset device and set SPI Config" (3) 
 +   1.651046] adrv9002 spi0.0: adrv9002_init, 4197: failed with "Failed to reset device and set SPI Config" (3) 
 +[    1.660988] cf_axi_adc: probe of ff220000.axi-adrv9002-rx1-lpc failed with error -14 
 +[    1.671202] of_cfs_init 
 +[    1.673672] of_cfs_initOK 
 +[    1.676654] ALSA device list: 
 +[    1.679631]   No soundcards found. 
 +[    1.683233] dw-apb-uart ffc02100.serial1forbid DMA for kernel console 
 +[    1.707544] random: fast init done 
 +[    1.942481] EXT4-fs (mmcblk0p2): recovery complete 
 +[    1.948189] EXT4-fs (mmcblk0p2): mounted filesystem with ordered data mode. Opts: (null) 
 +[    1.956309] VFS: Mounted root (ext4 filesystem) on device 179:2. 
 +[    1.965770] devtmpfs: mounted 
 +[    1.971587] Freeing unused kernel memory: 1024K 
 +[    1.976516] Run /sbin/init as init process 
 +[    2.495793] systemd[1]: System time before build time, advancing clock. 
 +[    2.546077] systemd[1]: systemd 247.3-7+rpi1 running in system mode. (+PAM +AUDIT +SELINUX +IMA +APPARMOR +SMACK +SYSVINIT +UTMP +LIBCRYPTSETUP +GCRYPT +GNUTLS +ACL +XZ +LZ4 +ZSTD +SECCOMP +BLKID +ELFUTILS +KMOD +IDN2 -IDN +PCRE2 default-hierarchy=unified) 
 +   2.569272systemd[1]: Detected architecture arm.
  
-Last login: Mon Dec 15 11:26:54 UTC 2014 on tty1 +Welcome to Kuiper GNU/Linux 11.2 (bullseye)!
-Welcome to Linaro 14.04 (GNU/Linux 3.17.0-gdf1ca8f armv7l) +
- * Documentation:  wiki.analog.org   ez.analog.com+
  
-root@analog:~#+[    2.622132] systemd[1]: Set hostname to <analog>. 
 +[    4.137436] systemd[1]: /lib/systemd/system/plymouth-start.service:16: Unit configured to use KillMode=none. This is unsafe, as it disables systemd's process lifecycle management for the service. Please update your service to use a safer KillMode=, such as 'mixed' or 'control-group'. Support for KillMode=none is deprecated and will eventually be removed. 
 +[    4.378487] systemd[1]: Queued start job for default target Graphical Interface. 
 +[    4.387531] random: systemd: uninitialized urandom read (16 bytes read) 
 +[    4.394498] systemd[1]: system-getty.slice: unit configures an IP firewall, but the local system does not support BPF/cgroup firewalling. 
 +[    4.406868] systemd[1]: (This warning is only shown for the first unit using IP firewalling.) 
 +[    4.416537] systemd[1]: Created slice system-getty.slice. 
 +[  OK  ] Created slice system-getty.slice. 
 +[    4.449268] random: systemd: uninitialized urandom read (16 bytes read) 
 +[    4.456609] systemd[1]: Created slice system-modprobe.slice. 
 +[  OK  ] Created slice system-modprobe.slice. 
 +[    4.489256] random: systemd: uninitialized urandom read (16 bytes read) 
 +[    4.496572] systemd[1]: Created slice system-serial\x2dgetty.slice. 
 +[  OK  ] Created slice system-serial\x2dgetty.slice. 
 +[    4.529917] systemd[1]: Created slice system-systemd\x2dfsck.slice. 
 +[  OK  ] Created slice system-systemd\x2dfsck.slice. 
 +[    4.559661] systemd[1]: Created slice User and Session Slice. 
 +[  OK  ] Created slice User and Session Slice. 
 +[    4.589562] systemd[1]: Started Forward Password Requests to Wall Directory Watch. 
 +[  OK  ] Started Forward Password R…uests to Wall Directory Watch. 
 +[    4.619502] systemd[1]: Condition check resulted in Arbitrary Executable File Formats File System Automount Point being skipped. 
 +[    4.631946] systemd[1]: Reached target Slices. 
 +[  OK  ] Reached target Slices. 
 +[    4.659334] systemd[1]: Reached target Swap. 
 +[  OK  ] Reached target Swap. 
 +[    4.690278] systemd[1]: Listening on Syslog Socket. 
 +[  OK  ] Listening on Syslog Socket. 
 +[    4.719816] systemd[1]: Listening on fsck to fsckd communication Socket. 
 +[  OK  ] Listening on fsck to fsckd communication Socket. 
 +[    4.749507] systemd[1]: Listening on initctl Compatibility Named Pipe. 
 +[  OK  ] Listening on initctl Compatibility Named Pipe. 
 +[    4.802106] systemd[1]: Condition check resulted in Journal Audit Socket being skipped. 
 +[    4.810944] systemd[1]: Listening on Journal Socket (/dev/log). 
 +[  OK  ] Listening on Journal Socket (/dev/log). 
 +[    4.839975] systemd[1]: Listening on Journal Socket. 
 +[  OK  ] Listening on Journal Socket. 
 +[    4.879147] systemd[1]: Listening on udev Control Socket. 
 +[  OK  ] Listening on udev Control Socket. 
 +[    4.909763] systemd[1]: Listening on udev Kernel Socket. 
 +[  OK  ] Listening on udev Kernel Socket. 
 +[    4.939873] systemd[1]: Condition check resulted in Huge Pages File System being skipped. 
 +[    4.948438] systemd[1]: Condition check resulted in POSIX Message Queue File System being skipped. 
 +[    4.960413] systemd[1]: Mounting RPC Pipe File System... 
 +         Mounting RPC Pipe File System... 
 +[    4.992209] systemd[1]: Mounting Kernel Debug File System... 
 +         Mounting Kernel Debug File System... 
 +[    5.022090] systemd[1]: Mounting Kernel Trace File System... 
 +         Mounting Kernel Trace File System... 
 +[    5.049485] systemd[1]: Condition check resulted in Kernel Module supporting RPCSEC_GSS being skipped. 
 +[    5.065551] systemd[1]: Starting Restore / save the current clock... 
 +         Starting Restore / save the current clock... 
 +[    5.102840] systemd[1]: Starting Set the console keyboard layout... 
 +         Starting Set the console keyboard layout... 
 +[    5.140096] systemd[1]: Condition check resulted in Create list of static device nodes for the current kernel being skipped. 
 +[    5.155786] systemd[1]: Starting Load Kernel Module configfs... 
 +         Starting Load Kernel Module configfs... 
 +[    5.192594] systemd[1]: Starting Load Kernel Module drm... 
 +         Starting Load Kernel Module drm... 
 +[    5.212630] systemd[1]: Starting Load Kernel Module fuse... 
 +         Starting Load Kernel Module fuse... 
 +[    5.254201] systemd[1]: Condition check resulted in Set Up Additional Binary Formats being skipped. 
 +[    5.263665] systemd[1]: Condition check resulted in File System Check on Root Device being skipped. 
 +[    5.276012] systemd[1]: Starting Journal Service... 
 +         Starting Journal Service... 
 +[    5.296804] systemd[1]: Starting Load Kernel Modules... 
 +         Starting Load Kernel Modules... 
 +[    5.342287] systemd[1]: Starting Remount Root and Kernel File Systems... 
 +         Starting Remount Root and Kernel File Systems... 
 +[    5.382711] systemd[1]: Starting Coldplug All udev Devices... 
 +         Starting Coldplug All udev Devices... 
 +[    5.426047] systemd[1]: Mounted RPC Pipe File System. 
 +[  OK  ] Mounted RPC Pipe File System. 
 +[    5.472608] systemd[1]: Mounted Kernel Debug File System. 
 +[  OK  ] Mounted Kernel Debug File System. 
 +[    5.488602] systemd[1]: Mounted Kernel Trace File System. 
 +[  OK  ] Mounted Kernel Trace File System. 
 +[    5.520488] systemd[1]: Finished Restore / save the current clock. 
 +[  OK  ] Finished Restore / save the current clock. 
 +[    5.596975] systemd[1]: modprobe@configfs.service: Succeeded. 
 +[    5.611072] systemd[1]: Finished Load Kernel Module configfs. 
 +[  OK  ] Finished Load Kernel Module configfs. 
 +[    5.629523] systemd[1]: Started Journal Service. 
 +[    5.645346] EXT4-fs (mmcblk0p2): re-mounted. Opts: (null) 
 +[  OK  ] Started Journal Service. 
 +[  OK  ] Finished Set the console keyboard layout. 
 +[  OK  ] Finished Load Kernel Module drm. 
 +[  OK  ] Finished Load Kernel Module fuse. 
 +[FAILED] Failed to start Load Kernel Modules. 
 +See 'systemctl status systemd-modules-load.service' for details. 
 +[  OK  ] Finished Remount Root and Kernel File Systems. 
 +         Mounting FUSE Control File System... 
 +         Mounting Kernel Configuration File System... 
 +         Starting Flush Journal to Persistent Storage... 
 +         Starting Load/Save Random Seed... 
 +         Starting Apply Kernel Variables... 
 +[    6.015539] systemd-journald[98]: Received client request to flush runtime journal. 
 +         Starting Create System Users... 
 +[    6.056206] systemd-journald[98]: File /var/log/journal/1064eace00dd4e3daeb15d4eed400196/system.journal corrupted or uncleanly shut down, renaming and replacing. 
 +[  OK  ] Mounted FUSE Control File System. 
 +[  OK  ] Finished Coldplug All udev Devices. 
 +[  OK  ] Mounted Kernel Configuration File System. 
 +[  OK  ] Finished Apply Kernel Variables. 
 +[  OK  ] Finished Create System Users. 
 +         Starting Helper to synchronize boot up for ifupdown... 
 +         Starting Create Static Device Nodes in /dev... 
 +         Starting Wait for udev To …plete Device Initialization... 
 +[  OK  ] Finished Helper to synchronize boot up for ifupdown. 
 +[  OK  ] Finished Create Static Device Nodes in /dev. 
 +[  OK  ] Reached target Local File Systems (Pre). 
 +         Starting Rule-based Manage…for Device Events and Files... 
 +[  OK  ] Finished Flush Journal to Persistent Storage. 
 +[  OK  ] Started Rule-based Manager for Device Events and Files. 
 +         Starting Show Plymouth Boot Screen... 
 +[  OK  ] Started Show Plymouth Boot Screen. 
 +[  OK  ] Started Forward Password R…s to Plymouth Directory Watch. 
 +[  OK  ] Reached target Local Encrypted Volumes. 
 +[  OK  ] Finished Load/Save Random Seed. 
 +[  OK  ] Reached target Hardware activated USB gadget. 
 +         Starting Load Kernel Modules... 
 +[  OK  ] Found device /dev/ttyS0. 
 +[FAILED] Failed to start Load Kernel Modules. 
 +See 'systemctl status systemd-modules-load.service' for details. 
 +[  OK  ] Found device /dev/disk/by-partuuid/0b25bea5-01. 
 +         Starting File System Check…isk/by-partuuid/0b25bea5-01... 
 +[  OK  ] Started File System Check Daemon to report status. 
 +[  OK  ] Finished Wait for udev To Complete Device Initialization. 
 +[  OK  ] Finished File System Check…/disk/by-partuuid/0b25bea5-01. 
 +         Mounting /boot... 
 +[  OK  ] Mounted /boot. 
 +[  OK  ] Reached target Local File Systems. 
 +         Starting Set console font and keymap... 
 +         Starting Raise network interfaces... 
 +         Starting Preprocess NFS configuration... 
 +         Starting Tell Plymouth To Write Out Runtime Data... 
 +         Starting Create Volatile Files and Directories... 
 +[  OK  ] Finished Set console font and keymap. 
 +[  OK  ] Finished Tell Plymouth To Write Out Runtime Data. 
 +[  OK  ] Finished Preprocess NFS configuration. 
 +[  OK  ] Reached target NFS client services. 
 +[  OK  ] Reached target Remote File Systems (Pre). 
 +[  OK  ] Reached target Remote File Systems. 
 +[  OK  ] Finished Create Volatile Files and Directories. 
 +         Starting Update UTMP about System Boot/Shutdown... 
 +[  OK  ] Finished Update UTMP about System Boot/Shutdown. 
 +[  OK  ] Reached target System Initialization. 
 +[  OK  ] Started CUPS Scheduler. 
 +[  OK  ] Started Daily apt download activities. 
 +[  OK  ] Started Daily apt upgrade and clean activities. 
 +[  OK  ] Started Periodic ext4 Onli…ata Check for All Filesystems. 
 +[  OK  ] Started Discard unused blocks once a week. 
 +[  OK  ] Started Daily rotation of log files. 
 +[  OK  ] Started Daily man-db regeneration. 
 +[  OK  ] Started Daily Cleanup of Temporary Directories. 
 +[  OK  ] Reached target Paths. 
 +[  OK  ] Reached target Timers. 
 +[  OK  ] Listening on Avahi mDNS/DNS-SD Stack Activation Socket. 
 +[  OK  ] Listening on CUPS Scheduler. 
 +[  OK  ] Listening on D-Bus System Message Bus Socket. 
 +[  OK  ] Listening on Erlang Port Mapper Daemon Activation Socket. 
 +[  OK  ] Listening on GPS (Global P…ioning System) Daemon Sockets. 
 +[  OK  ] Listening on triggerhappy.socket. 
 +[  OK  ] Reached target Sockets. 
 +[  OK  ] Reached target Basic System. 
 +         Starting Avahi mDNS/DNS-SD Stack... 
 +[  OK  ] Started Regular background program processing daemon. 
 +[  OK  ] Started D-Bus System Message Bus. 
 +         Starting dphys-swapfile - …unt, and delete a swap file... 
 +         Starting Remove Stale Onli…t4 Metadata Check Snapshots... 
 +         Starting Creating IIOD Context Attributes...... 
 +         Starting Authorization Manager... 
 +         Starting DHCP Client Daemon... 
 +         Starting LSB: Switch to on…nless shift key is pressed)... 
 +         Starting LSB: rng-tools (Debian variant)... 
 +         Starting Check for Raspberry Pi EEPROM updates... 
 +         Starting System Logging Service... 
 +         Starting User Login Management... 
 +         Starting triggerhappy global hotkey daemon... 
 +         Starting Disk Manager... 
 +         Starting WPA supplicant... 
 +[  OK  ] Started Avahi mDNS/DNS-SD Stack. 
 +[  OK  ] Finished Check for Raspberry Pi EEPROM updates. 
 +[  OK  ] Started triggerhappy global hotkey daemon. 
 +[  OK  ] Started System Logging Service. 
 +[  OK  ] Started Authorization Manager. 
 +[  OK  ] Started DHCP Client Daemon. 
 +         Starting Modem Manager... 
 +[  OK  ] Started WPA supplicant. 
 +[FAILED] Failed to start dphys-swap…mount, and delete a swap file. 
 +See 'systemctl status dphys-swapfile.service' for details. 
 +[  OK  ] Finished Raise network interfaces. 
 +[  OK  ] Reached target Network. 
 +[  OK  ] Reached target Network is Online. 
 +         Starting CUPS Scheduler... 
 +[  OK  ] Started Erlang Port Mapper Daemon. 
 +         Starting Load USB gadget scheme... 
 +         Starting HTTP based time synchronization tool... 
 +         Starting Internet superserver... 
 +         Starting /etc/rc.local Compatibility... 
 +         Starting OpenBSD Secure Shell server... 
 +         Starting Permit User Sessions... 
 +[  OK  ] Started LSB: Switch to ond…(unless shift key is pressed). 
 +[  OK  ] Started LSBrng-tools (Debian variant). 
 +[  OK  ] Found device /dev/ttyGS0. 
 +[  OK  ] Finished Load USB gadget scheme. 
 +[  OK  ] Started /etc/rc.local Compatibility. 
 +         Mounting Mount FunctionFS instance... 
 +[  OK  ] Started Internet superserver. 
 +[  OK  ] Mounted Mount FunctionFS instance. 
 +[  OK  ] Finished Permit User Sessions. 
 +         Starting Light Display Manager... 
 +         Starting Hold until boot process finishes up... 
 +[  OK  ] Started HTTP based time synchronization tool. 
 +[  OK  ] Started User Login Management. 
 +[  OK  ] Started Unattended Upgrades Shutdown. 
 +[  OK  ] Finished Remove Stale Onli…ext4 Metadata Check Snapshots. 
 +[FAILED] Failed to start VNC Server for X11.
  
-</xterm> +Raspbian GNU/Linux 11 analog ttyS0
-  - Ignore your PC, and now interact on the USB mouse/keyboard on the Zynq device +
-  - You should see one application starting: +
-    - IIO Scope tool: \\ {{:resources:tools-software:linux-software:zynq_image_running.png?700|}} +
-      - Learn more about the [[:resources:tools-software:linux-software:iio_oscilloscope|IIO Scope]]. +
-  - You are now done with creating the SD card. You can interact with the GUI either over the network, or with the HDMI monitor/USB keyboard mouse. +
-  - However, it's likely that you have an old image. We update kernels and the tools faster/more often than we make complete SD images, so it's important that you follow the instructions for updating your system below.+
  
-<WRAP important round>Even thought this is Linux, this is a persistent file systems. You have to take care not to corrupt the file system -- please shut down things, don't just turn off the power switch. Depending on your monitor, the standard power off could be hiding. You can do this from the terminal as well with:\\ ''sudo shutdown -h now''\\ or\\ ''sudo reboot''+analog login: root (automatic login) 
 + 
 +Password: 
 +Linux analog 5.10.0-98183-gf814ae972859-dirty #27 SMP Wed May 25 16:01:50 EEST 2022 armv7l 
 + 
 +The programs included with the Debian GNU/Linux system are free software; 
 +the exact distribution terms for each program are described in the 
 +individual files in /usr/share/doc/*/copyright. 
 + 
 +Debian GNU/Linux comes with ABSOLUTELY NO WARRANTY, to the extent 
 +permitted by applicable law. 
 +Last login: Fri Jun 17 14:45:22 BST 2022 on ttyS0 
 +root@analog:~# 
 +</code> 
 +</hidden> 
 + 
 +<WRAP important round>Even thought this is Linux, this is a persistent file systems. You have to take care not to corrupt the file system -- please shut down things, don't just turn off the power switch. Depending on your monitor, the standard power off could be hiding. You can do this from the terminal as well with:\\ ''sudo shutdown -h now''\\ or\\ ''sudo poweroff''
 \\ \\
-{{:resources:fpga:xilinx:fmc:ad-fmcomms1-ebz:shutdown.png?300|}} 
 </WRAP> </WRAP>
  
 ==== Users and Passwords ===== ==== Users and Passwords =====
  
-The default user for the graphical desktop environment is the "analog" user, the password for this user is "analog". The password for the "root" account is "analog" as well.+The default user is the "analog" user, the password for this user is "analog". The password for the "root" account is "analog" as well.
  
 ^ User ^ Password ^ ^ User ^ Password ^
 | root | analog | | root | analog |
 | analog | analog | | analog | analog |
- 
- 
 ==== Staying up to date ====  ==== Staying up to date ==== 
  
Line 544: Line 768:
 <WRAP box bggreen><wrap info>This specifies any shell prompt running on the target</wrap> <WRAP box bggreen><wrap info>This specifies any shell prompt running on the target</wrap>
 <xterm> <xterm>
-root@linaro-ubuntu-desktop:~# **sudo adi_update_tools.sh** +root@analog:~# **adi_update_tools.sh** 
 +</xterm></WRAP>
  
-*** Cloning fmcomms1-eeprom-cal *** +<hidden **Complete Update Tools Log** (Click to expand)> 
-Cloning into 'fmcomms1-eeprom-cal'... +<code>
-remote: Counting objects: 19, done. +
-remote: Compressing objects: 100% (15/15), done. +
-remote: Total 19 (delta 6), reused 12 (delta 3) +
-Unpacking objects: 100% (19/19), done.+
  
-*** Building fmcomms1-eeprom-cal *** +2022-05-06 14:35:15 URL: http://github.com/analogdevicesinc 200 OK 
-cc -Wall -Wextra -pedantic -std=gnu99   --o main.o main.c + *** Updating linux_image_ADI-scripts BRANCH origin/master *** 
-cc -Wall -Wextra -pedantic -std=gnu99  -o xcomm_cal main.o  -lm+HEAD is now at 47416bc update_tools: Add HWMON and examples to libiio builds 
 +remote: Enumerating objects: 32, done. 
 +remote: Counting objects: 100% (32/32), done. 
 +remote: Compressing objects: 100% (16/16), done. 
 +remote: Total 32 (delta 16), reused 30 (delta 16), pack-reused 0 
 +Unpacking objects: 100% (32/32), 7.80 KiB | 133.00 KiB/s, done. 
 +From https://github.com/analogdevicesinc/linux_image_ADI-scripts 
 + * [new branch]      add_2021_R1_release -> origin/add_2021_R1_release 
 +   47416bc..425510e  master              -> origin/master 
 + + c989e52...4bf27ce rpi_boot_files      -> origin/rpi_boot_files  (forced update) 
 + *** Building linux_image_ADI-scripts *** 
 +./adi_update_tools.sh has been updated, switching to new one 
 +2022-05-06 14:35:18 URL: http://github.com/analogdevicesinc 200 OK 
 + *** Updating linux_image_ADI-scripts BRANCH origin/master *** 
 +HEAD is now at 425510e adi_update_boot.sh: Replace windows carrige/return 
 + *** Building linux_image_ADI-scripts *** 
 +./adi_update_tools.sh script is the same, continuing 
 +Reading package lists... Done 
 +Building dependency tree... Done 
 +Reading state information... Done 
 +Note, selecting 'libncurses-dev' instead of 'ncurses-dev' 
 +bison is already the newest version (2:3.7.5+dfsg-1). 
 +flex is already the newest version (2.6.4-8). 
 +libaio-dev is already the newest version (0.3.112-9+rpi1)
 +libavahi-client-dev is already the newest version (0.8-5). 
 +libavahi-common-dev is already the newest version (0.8-5). 
 +libcdk5-dev is already the newest version (5.0.20180306-3). 
 +libcurl4-openssl-dev is already the newest version (7.74.0-1.3+deb11u1). 
 +libfftw3-dev is already the newest version (3.3.8-2). 
 +libgtkdatabox-dev is already the newest version (1:0.9.3.1-2). 
 +libjansson-dev is already the newest version (2.13.1-1.1). 
 +libmatio-dev is already the newest version (1.5.19-2). 
 +libncurses-dev is already the newest version (6.2+20201114-2). 
 +libserialport-dev is already the newest version (0.1.1-4). 
 +libxml2 is already the newest version (2.9.10+dfsg-6.7+deb11u1). 
 +libxml2-dev is already the newest version (2.9.10+dfsg-6.7+deb11u1). 
 +cmake is already the newest version (3.18.4-2+rpt1+rpi1). 
 +libgtk2.0-dev is already the newest version (2.24.33-2+rpt1). 
 +0 upgraded, 0 newly installed, 0 to remove and 49 not upgraded. 
 +Reading package lists... Done 
 +Building dependency tree... Done 
 +Reading state information... Done 
 +evtest is already the newest version (1:1.34-1). 
 +gpsd is already the newest version (3.22-4). 
 +gpsd-clients is already the newest version (3.22-4). 
 +u-boot-tools is already the newest version (2021.01+dfsg-5+rpi1). 
 +0 upgraded, 0 newly installed, 0 to remove and 49 not upgraded. 
 +Cannot read environment, using default 
 +Cannot read default environment from file 
 +sed: can't read /etc/update-motd.d/10-help-text: No such file or directory 
 +make: *** No rule to make target 'clean' Stop.
 install -d /usr/local/bin install -d /usr/local/bin
-install ./xcomm_cal /usr/local/bin/+install ./*.sh /usr/local/bin/ 
 +/bin/sh usb-gadget-service/install_gt.sh 
 +Reading package lists... Done 
 +Building dependency tree... Done 
 +Reading state information... Done 
 +libconfig-dev is already the newest version (1.5-0.4). 
 +Already up to date. 
 +checking for a BSD-compatible install... /usr/bin/install -c 
 +checking whether build environment is sane... yes 
 +checking for a thread-safe mkdir -p... /usr/bin/mkdir -p 
 +checking for gawk... no 
 +checking for mawk... mawk 
 +checking whether make sets $(MAKE)... yes 
 +checking whether make supports nested variables... yes 
 +checking for gcc... gcc 
 +checking whether the C compiler works... yes 
 +checking for C compiler default output file name... a.out 
 +checking for suffix of executables... 
 +checking whether we are cross compiling... no 
 +checking for suffix of object files... o 
 +checking whether we are using the GNU C compiler... yes 
 +checking whether gcc accepts -g... yes 
 +checking for gcc option to accept ISO C89... none needed 
 +checking whether gcc understands -c and -o together... yes 
 +checking whether make supports the include directive... yes (GNU style) 
 +checking dependency style of gcc... gcc3 
 +checking for g++... g++ 
 +checking whether we are using the GNU C++ compiler... yes 
 +checking whether g++ accepts -g... yes 
 +checking dependency style of g++... gcc3 
 +checking for ar... ar 
 +checking the archiver (ar) interface... ar 
 +checking for pkg-config... /usr/bin/pkg-config 
 +checking pkg-config is at least version 0.9.0... yes 
 +checking for libconfig >= 1.4... yes 
 +checking for libconfig >= 1.5... yes 
 +checking build system type... armv7l-unknown-linux-gnueabihf 
 +checking host system type... armv7l-unknown-linux-gnueabihf 
 +checking how to print strings... printf 
 +checking for a sed that does not truncate output... /usr/bin/sed 
 +checking for grep that handles long lines and -e... /usr/bin/grep 
 +checking for egrep... /usr/bin/grep -E 
 +checking for fgrep... /usr/bin/grep -F 
 +checking for ld used by gcc... /usr/bin/ld 
 +checking if the linker (/usr/bin/ld) is GNU ld... yes 
 +checking for BSD- or MS-compatible name lister (nm)... /usr/bin/nm -B 
 +checking the name lister (/usr/bin/nm -B) interface... BSD nm 
 +checking whether ln -s works... yes 
 +checking the maximum length of command line arguments... 1572864 
 +checking how to convert armv7l-unknown-linux-gnueabihf file names to armv7l-unknown-linux-gnueabihf format... func_convert_file_noop 
 +checking how to convert armv7l-unknown-linux-gnueabihf file names to toolchain format... func_convert_file_noop 
 +checking for /usr/bin/ld option to reload object files... -r 
 +checking for objdump... objdump 
 +checking how to recognize dependent libraries... pass_all 
 +checking for dlltool... no 
 +checking how to associate runtime and link libraries... printf %s\n 
 +checking for archiver @FILE support... @ 
 +checking for strip... strip 
 +checking for ranlib... ranlib 
 +checking command to parse /usr/bin/nm -B output from gcc object... ok 
 +checking for sysroot... no 
 +checking for a working dd... /usr/bin/dd 
 +checking how to truncate binary pipes... /usr/bin/dd bs=4096 count=1 
 +checking for mt... mt 
 +checking if mt is a manifest tool... no 
 +checking how to run the C preprocessor... gcc -E 
 +checking for ANSI C header files... yes 
 +checking for sys/types.h... yes 
 +checking for sys/stat.h... yes 
 +checking for stdlib.h... yes 
 +checking for string.h... yes 
 +checking for memory.h... yes 
 +checking for strings.h... yes 
 +checking for inttypes.h... yes 
 +checking for stdint.h... yes 
 +checking for unistd.h... yes 
 +checking for dlfcn.h... yes 
 +checking for objdir... .libs 
 +checking if gcc supports -fno-rtti -fno-exceptions... no 
 +checking for gcc option to produce PIC... -fPIC -DPIC 
 +checking if gcc PIC flag -fPIC -DPIC works... yes 
 +checking if gcc static flag -static works... yes 
 +checking if gcc supports -c -o file.o... yes 
 +checking if gcc supports -c -o file.o... (cached) yes 
 +checking whether the gcc linker (/usr/bin/ld) supports shared libraries... yes 
 +checking whether -lc should be explicitly linked in... no 
 +checking dynamic linker characteristics... GNU/Linux ld.so 
 +checking how to hardcode library paths into programs... immediate 
 +checking whether stripping libraries is possible... yes 
 +checking if libtool supports shared libraries... yes 
 +checking whether to build shared libraries... yes 
 +checking whether to build static libraries... yes 
 +checking how to run the C++ preprocessor... g++ -E 
 +checking for ld used by g++... /usr/bin/ld 
 +checking if the linker (/usr/bin/ld) is GNU ld... yes 
 +checking whether the g++ linker (/usr/bin/ld) supports shared libraries... yes 
 +checking for g++ option to produce PIC... -fPIC -DPIC 
 +checking if g++ PIC flag -fPIC -DPIC works... yes 
 +checking if g++ static flag -static works... yes 
 +checking if g++ supports -c -o file.o... yes 
 +checking if g++ supports -c -o file.o... (cached) yes 
 +checking whether the g++ linker (/usr/bin/ld) supports shared libraries... yes 
 +checking dynamic linker characteristics... (cached) GNU/Linux ld.so 
 +checking how to hardcode library paths into programs... immediate 
 +checking for doxygen... /usr/bin/doxygen 
 +checking for perl... /usr/bin/perl 
 +checking for dot... /usr/bin/dot 
 +checking for latex... no 
 +configure: WARNING: latex not found - will not generate doxygen PostScript documentation 
 +checking for makeindex... no 
 +checking for dvips... no 
 +checking for egrep... /usr/bin/egrep 
 +checking for pdflatex... no 
 +configure: WARNING: pdflatex not found - will not generate doxygen PDF documentation 
 +checking for makeindex... no 
 +checking for egrep... (cached) /usr/bin/egrep 
 +DX_FLAG_doc=1 
 +DX_FLAG_dot=1 
 +DX_FLAG_man=0 
 +DX_FLAG_html=1 
 +DX_FLAG_chm=0 
 +DX_FLAG_chi=0 
 +DX_FLAG_rtf=0 
 +DX_FLAG_xml=0 
 +DX_FLAG_pdf=0 
 +DX_FLAG_ps=0 
 +DX_ENV= SRCDIR='.' PROJECT='libusbgx' DOCDIR='doxygen-doc' VERSION='0.2.0' PERL_PATH='/usr/bin/perl' HAVE_DOT='YES' DOT_PATH='/usr/bin' GENERATE_MAN='NO' GENERATE_RTF='NO' GENERATE_XML='NO' GENERATE_HTMLHELP='NO' GENERATE_CHI='NO' GENERATE_HTML='YES' GENERATE_LATEX='NO' 
 +checking that generated files are newer than configure... done 
 +configure: creating ./config.status 
 +config.status: creating Makefile 
 +config.status: creating src/Makefile 
 +config.status: creating examples/Makefile 
 +config.status: creating include/usbg/usbg_version.h 
 +config.status: creating libusbgx.pc 
 +config.status: creating doxygen.cfg 
 +config.status: creating LibUsbgxConfig.cmake 
 +config.status: executing depfiles commands 
 +config.status: executing libtool commands 
 +make[1]: warning: jobserver unavailable: using -j1.  Add '+' to parent make rule. 
 +make[1]: Entering directory '/usr/local/src/libusbgx' 
 +Making all in src 
 +.... 
 + *** Building libiio *** 
 +-- cmake version: 3.18.4 
 +-- The C compiler identification is GNU 10.2.1 
 +-- Detecting C compiler ABI info 
 +-- Detecting C compiler ABI info - done 
 +-- Check for working C compiler: /usr/bin/cc - skipped 
 +-- Detecting C compile features 
 +-- Detecting C compile features - done 
 +-- Performing Test HAS_WPEDANTIC 
 +-- Performing Test HAS_WPEDANTIC - Success 
 +-- Performing Test HAS_WSHADOW 
 +-- Performing Test HAS_WSHADOW - Success 
 +-- Looking for strdup 
 +-- Looking for strdup - found 
 +-- Looking for strndup 
 +-- Looking for strndup - found 
 +-- Looking for strerror_r 
 +-- Looking for strerror_r - found 
 +-- Looking for newlocale 
 +-- Looking for newlocale - found 
 +-- Looking for pthread_setname_np 
 +-- Looking for pthread_setname_np - found 
 +-- Looking for in6addr_any 
 +-- Looking for in6addr_any - found 
 +-- Looking for libusb-1.0 : Found 
 +-- Looking for libusb_get_version 
 +-- Looking for libusb_get_version - found 
 +-- Found Git: /usr/bin/git (found version "2.30.2"
 +-- Looking for libserialport : Found 
 +-- Building with Network back end support 
 +-- Performing Test HAS_O_TMPFILE 
 +-- Performing Test HAS_O_TMPFILE - Success 
 +-- Performing Test WITH_NETWORK_EVENTFD 
 +-- Performing Test WITH_NETWORK_EVENTFD - Success 
 +-- Performing Test HAS_PIPE2 
 +-- Performing Test HAS_PIPE2 - Success 
 +-- Building with Avahi, a DNS SD implementation 
 +-- Found LibXml2: /usr/lib/arm-linux-gnueabihf/libxml2.so (found version "2.9.10"
 +-- Looking for CDK_CSTRING2 
 +-- Looking for CDK_CSTRING2 - found 
 +-- bin= lib= inc= 
 +-- Found Python: /usr/bin/python3.9 (found version "3.9.2") found components: Interpreter 
 +-- new 
 +-- Python_EXECUTABLE /usr/bin/python3.9 
 +-- Found Python: Building bindings 
 +-- Found BISON: /usr/bin/bison (found version "3.7.5"
 +-- Found FLEX: /usr/bin/flex (found version "2.6.4"
 +-- Looking for sys/types.h 
 +-- Looking for sys/types.h - found 
 +-- Looking for stdint.h 
 +-- Looking for stdint.h - found 
 +-- Looking for stddef.h 
 +-- Looking for stddef.h - found 
 +-- Check size of struct usb_functionfs_descs_head_v2 
 +-- Check size of struct usb_functionfs_descs_head_v2 - done 
 +-- Configuring done 
 +-- Generating done 
 +-- Build files have been written to: /usr/local/src/libiio/build 
 +.... 
 +Building libiio target  finished Successfully 
 + *** Updating libad9361-iio BRANCH origin/2019_R2 *** 
 +Previous HEAD position was fd44358 Fix flags for FMComms5 python tests 
 +HEAD is now at be7eb02 Update HW test to use environmental variables 
 +remote: Enumerating objects: 3, done. 
 +remote: Counting objects: 100% (3/3), done. 
 +remote: Total 3 (delta 2), reused 3 (delta 2), pack-reused 0 
 +Unpacking objects: 100% (3/3), 601 bytes | 120.00 KiB/s, done. 
 +From https://github.com/analogdevicesinc/libad9361-iio 
 + * [new branch]      2021_R1           -> origin/2021_R1 
 + * [new branch]      staging/ci-update -> origin/staging/ci-update 
 + *** Building libad9361-iio *** 
 +-- The C compiler identification is GNU 10.2.1 
 +-- Detecting C compiler ABI info 
 +-- Detecting C compiler ABI info - done 
 +-- Check for working C compiler: /usr/bin/cc - skipped 
 +-- Detecting C compile features 
 +-- Detecting C compile features - done 
 +-- Found Git: /usr/bin/git (found version "2.30.2"
 +-- Found Doxygen: /usr/bin/doxygen (found version "1.9.1") found components: doxygen dot 
 +-- Using default dependencies for packaging 
 +-- Package dependencies: libc6-dev (>= 2.19) 
 +-- Configuring done 
 +-- Generating done 
 +-- Build files have been written to: /usr/local/src/libad9361-iio/build 
 +.... 
 +Building libad9361-iio target  finished Successfully 
 +*** Updating iio-oscilloscope BRANCH origin/2019_R2 *** 
 +Previous HEAD position was fb9ec84 plugins: adrv9002: improve temperature reporting 
 +HEAD is now at 177dd7d filters: add adrv9002 new API profiles 
 +rm -rf /usr/local/lib/osc /usr/local/share/osc /usr/local/bin/osc /usr/local/lib/libosc.so 
 +xdg-icon-resource uninstall --noupdate --size 16 adi-osc 
 +xdg-icon-resource uninstall --noupdate --size 32 adi-osc 
 +xdg-icon-resource uninstall --noupdate --size 64 adi-osc 
 +xdg-icon-resource uninstall --noupdate --size 128 adi-osc 
 +....
  
-Building fmcomms1-eeprom-cal finished Successfully +</code> 
- +</hidden>
- +
-*** Cloning iio-cmdsrv *** +
-Cloning into 'iio-cmdsrv'... +
-remote: Counting objects: 199, done. +
-remote: Compressing objects: 100% (124/124), done. +
-remote: Total 199 (delta 73), reused 199 (delta 73) +
-Receiving objects: 100% (199/199), 44.46 KiB | 80 KiB/s, done. +
-Resolving deltas: 100% (73/73), done. +
- +
-*** Building iio-cmdsrv *** +
-cc -Wall -Wextra -pedantic -std=gnu99 -s   -c -o iio_cmdsrv.o iio_cmdsrv.c +
-cc -Wall -Wextra -pedantic -std=gnu99 -s  -o iio_cmdsrv iio_cmdsrv.o  -lm +
-install -d /usr/local/bin +
-install ./iio_cmdsrv /usr/local/bin/ +
-install ./scripts/* /usr/local/bin/ +
- +
-Building iio-cmdsrv finished Successfully +
- +
- +
-*** Cloning iio-oscilloscope *** +
-Cloning into 'iio-oscilloscope'... +
- +
-[-snip-] +
- +
-</xterm></WRAP>+
  
 === ZYNQ Processing System / FPGA Boot Files === === ZYNQ Processing System / FPGA Boot Files ===
Line 596: Line 1078:
 <WRAP box bggreen><wrap info>This specifies any shell prompt running on the target</wrap> <WRAP box bggreen><wrap info>This specifies any shell prompt running on the target</wrap>
 <xterm> <xterm>
-root@linaro-ubuntu-desktop:~# **sudo adi_update_boot.sh**  +root@analog:~# adi_update_boot.sh  
---2013-12-11 14:22:46--  http:%%//%%wiki.analog.com/_media/resources/tools-software/linux-drivers/platforms/latest_zynq_boot.txt +</xterm></WRAP>
-Resolving wiki.analog.com (wiki.analog.com)... 195.170.124.184 +
-Connecting to wiki.analog.com (wiki.analog.com)|195.170.124.184|:80... connected. +
-HTTP request sent, awaiting response... 200 OK +
-Length: 153 [text/plain] +
-Saving to: `latest_zynq_boot.txt'+
  
-100%[======================================>] 153         --.-K/  in 0s      +<hidden **Complete Update Boot Log** (Click to expand)> 
 +<code>
  
-2013-12-11 14:24:13 (3.96 MB/s) - `latest_zynq_boot.txt' saved [153/153] +Verifying if ./adi_update_boot.sh is up to date... 
- +HEAD is now at 425510e adi_update_boot.sh: Replace windows carrige/return 
-sedcan't read /media/boot/VERSION: No such file or directory +./adi_update_boot.sh is up to date, continuing... 
-CURRENT VERSION:  +Check latest available version... 
-NEW VERSION    : build-2013-12-10 +--2022-05-06 14:53:34--  http://swdownloads.analog.com/cse/boot_partition_files/2019_r2/latest_boot.txt 
---2013-12-11 14:24:13--  http:%%//%%wiki.analog.com/_media/resources/tools-software/linux-drivers/platforms/zynq_boot_build-2013-12-10.tgz +Resolving swdownloads.analog.com (swdownloads.analog.com)... 104.103.158.171 
-Connecting to wiki.analog.com (wiki.analog.com)|195.170.124.184|:80... connected.+Connecting to swdownloads.analog.com (swdownloads.analog.com)|104.103.158.171|:80... connected. 
 +HTTP request sent, awaiting response... 301 Moved Permanently 
 +Location: https://swdownloads.analog.com/cse/boot_partition_files/2019_r2/latest_boot.txt [following] 
 +--2022-05-06 14:53:34--  https://swdownloads.analog.com/cse/boot_partition_files/2019_r2/latest_boot.txt 
 +Connecting to swdownloads.analog.com (swdownloads.analog.com)|104.103.158.171|:443... connected.
 HTTP request sent, awaiting response... 200 OK HTTP request sent, awaiting response... 200 OK
-Length: 28330816 (27M) [application/x-gzip] +Length: 160 [text/plain] 
-Saving to: `zynq_boot_build-2013-12-10.tgz'+Saving to: ‘latest_boot.txt’ 
 +latest_boot.txt     100%[===================>    160  --.-KB/   in 0s 
 +2022-05-06 14:53:35 (26.0 MB/s) - ‘latest_boot.txt’ saved [160/160] 
 +Latest version available: 2021_07_27 
 +Release: 2019_r2 
 +Current version detected: 2022_04_14 
 +Release: master 
 +Warning! You want to update boot files from a different release: 2019_r2 (current release: master) 
 +In this case there may appear compatibility issues with root file system. 
 +Are you sure you want to continue?(y/n) Y 
 +Start downloading latest_boot_partition.tar.gz ... 
 +--2022-05-06 14:54:41--  https://swdownloads.analog.com/cse/boot_partition_files/master/latest_boot_partition.tar.gz 
 +Resolving swdownloads.analog.com (swdownloads.analog.com)... 104.103.158.171 
 +Connecting to swdownloads.analog.com (swdownloads.analog.com)|104.103.158.171|:443... connected. 
 +HTTP request sent, awaiting response... 200 OK 
 +Length: 515989728 (492M) [application/x-gzip] 
 +Saving to: ‘latest_boot_partition.tar.gz’ 
 +...
  
-100%[======================================>] 28,330,816  9.64M/  in 2.8s     +</code> 
- +</hidden>
-2013-12-11 14:24:16 (9.64 MB/s) - `zynq_boot_build-2013-12-10.tgz' saved [28330816/28330816] +
- +
-CURRENT BOARD CONFIG: /media/boot/zynq-zed-adv7511-xcomm +
-Extracting - Be patient! +
-..............DONE +
-root@linaro-ubuntu-desktop:~# +
-  +
-</xterm></WRAP>+
  
-<WRAP important round>+<WRAP info round>
 It may happen that you have to copy manually the boot files to complete the update. It may happen that you have to copy manually the boot files to complete the update.
 \\ To do so, plug the SD card into your computer, and: \\ To do so, plug the SD card into your computer, and:
Line 665: Line 1156:
  
 Use rsync, or ssh, or scp, or just plug in the SD card to your Linux machine, and mount the ext4 file system. If you really need help - ask. Use rsync, or ssh, or scp, or just plug in the SD card to your Linux machine, and mount the ext4 file system. If you really need help - ask.
 +
 +===== Preparing the Image: Raspberry Pi ======
 +
 +
 +
 +==== Hardware Configuration ====
 +
 +The system will likely need to be configured according to what devices are connected to the platform board (for example, an AD7124-8 ADC connected to a Raspberry Pi) The most straightforward way to do this for Raspberry Pi is to edit the config.txt file, which is located in the boot partition. This is a FAT partition that is accessible in Linux, Windows, or MacOS. Any text editor can be used, including the Mousepad editor that is included with Kuiper Linux. Using the Raspberry Pi itself also avoids problems with USB encryption, often present on company computers. Connect a keyboard, mouse, and monitor to the Raspberry Pi and connect power. The ADI Kuiper Linux desktop should appear.\\
 +Before editing, it is a good idea to make a backup of the original file, just in case something goes wrong (which it won't, but still...) Open a terminal and enter the following command (noting that "analog@analog:~ $" is the prompt, and does not need to be typed):
 +
 +<code>
 +analog@analog:~ $ sudo cp /boot/config.txt /boot/config.backup
 +analog@analog:~ $ sudo mousepad /boot/config.txt
 +</code>
 +
 +This will bring up the text editor. At this point, the appropriate device tree overlays can be included, for example, add the following line to enable the ADXL345 3-axis SPI accelerometer, noting that the lirc-rpi lines are shown for reference, and any line beginning with "#" is ignored:
 +
 +<code>
 +# Uncomment this to enable the lirc-rpi module
 +#dtoverlay=lirc-rpi
 +dtoverlay=rpi-adxl345
 +</code>
 +
 +This usually represents a default overlay, with SPI port and interrupt mapping defined in the kernel source. A number of other overlays are included with Kuiper Linux, for individual devices and various reference designs. Alternative configurations can be created by cloning and editing the appropriate dts file.
 +
 +After editing config.txt, reboot for changes to take effect.
 +
 +If any IIO devices are attached and were enabled in config.txt, run iio_info to verify that the drivers loaded properly. Example:
 +
 +<code>
 +analog@analog:~ $ iio_info
 +Library version: 0.21 (git tag: 1c0781b)
 +Compiled with backends: local xml ip
 +IIO context created with local backend.
 +Backend version: 0.21 (git tag: 1c0781b)
 +Backend description string: Linux analog 4.19.86-v7l+ #3 SMP Tue Sep 1 19:43:06 UTC 2020 armv7l
 +IIO context has 2 attributes:
 + local,kernel: 4.19.86-v7l+
 + uri: local:
 +IIO context has 5 devices:
 + iio:device0: ad7127-8 (buffer capable)
 + 8 channels found:
 + voltage0-voltage1:  (input, index: 0, format: be:u24/32>>0)
 + 6 channel-specific attributes found:
 + attr  0: filter_low_pass_3db_frequency value: 3
 + attr  1: offset value: 0
 +...
 +...
 +</code>
  
 ===== License ===== ===== License =====
  
-The [[http://www.linaro.org/|Linaro]] based GNU/Linux image found/distributed here is a modular operating system consisting of hundreds of software components. Most (but not allof the components are open source packages, developed independently, and accompanied by separate license terms (such as GPLv2, GPLv3, LGPL, BSD, modified BSD or others). Your license rights with respect to individual components accompanied by separate license terms are defined by those terms (The license agreement for each component is generally located in the component'source code); nothing (including obtaining these components as part of an aggregate) shall restrict, limit, or otherwise affect any rights or obligations you may have, or conditions to which you may be subject, under such license terms.+Copyright (c2022 Raspberry Pi (TradingLtd. 
 + 
 +All rights reserved. 
 + 
 +Redistribution and use in source and binary forms, with or without modification, are permitted provided that the following conditions are met: 
 + 
 +1. Redistributions of source code must retain the above copyright notice, this list of conditions and the following disclaimer.
  
-The license terms of components found in the Linaro image normally (but not always) permit you to copy, modify, and redistribute the component, and may include obligations - including distributing the changes to the source.+2. Redistributions in binary form must reproduce the above copyright noticethis list of conditions and the following disclaimer in the documentation and/or other materials provided with the distribution.
  
-If you include this software components from this image in your product, it is up to you and your legal team to make sure your product complies with the licensing requirements of whatever software package that you include.+3. Neither the name of the copyright holder nor the names of its contributors may be used to endorse or promote products derived from this software without specific prior written permission.
  
-You may make and use unlimited copies of the Software for your distribution and use within your organizationYou may make and distribute unlimited copies of the Software outside your organization.+THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMEDIN NO EVENT SHALL THE COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
resources/tools-software/linux-software/embedded_arm_images.txt · Last modified: 20 Sep 2022 09:17 by Michael Hennerich