Wiki

Differences

This shows you the differences between two versions of the page.

Link to this comparison view

Both sides previous revisionPrevious revision
Next revision
Previous revision
Next revisionBoth sides next revision
resources:eval:user-guides:ad-fmcomms2-ebz:quickstart:zynqmp [20 Dec 2017 22:10] – escape // Neil Wilsonresources:eval:user-guides:ad-fmcomms2-ebz:quickstart:zynqmp [06 Sep 2022 14:39] – Update the boot flow and logs Liviu-Mihai Iacob
Line 7: Line 7:
  
   * [[resources:eval:user-guides:ad-fmcomms2-ebz:software:linux:zynqmp|Building the ZynqMP / MPSoC Linux kernel and devicetrees from source]]   * [[resources:eval:user-guides:ad-fmcomms2-ebz:software:linux:zynqmp|Building the ZynqMP / MPSoC Linux kernel and devicetrees from source]]
- +  * [[resources:tools-software:linux-software:build-the-zynqmp-boot-image|How to build the ZynqMP boot image BOOT.BIN]] 
  
 ===== Required Software ===== ===== Required Software =====
Line 17: Line 17:
 </hidden> </hidden>
  
-  * SD Card 8GB imaged using the instructions here: [[resources:tools-software:linux-software:zynq_images|Zynq & Altera SoC Quick Start Guide]]+  * SD Card 16GB or more imaged using the instructions here: [[resources:tools-software:linux-software:zynq_images|Zynq & Altera SoC Quick Start Guide]]
   * A UART terminal (Putty/Tera Term/Minicom, etc.), Baud rate 115200 (8N1).   * A UART terminal (Putty/Tera Term/Minicom, etc.), Baud rate 115200 (8N1).
  
 ==== Setup ==== ==== Setup ====
    
-  - Image the SD card with the latest available image +  - Image the SD card with the latest available image: [[:resources:tools-software:linux-software:adi-kuiper_images:release_notes]] 
-  - Download **FMCOMMS2-3 ZCU102 Rev 1.0.zip** +  - Copy the following files into the BOOT partition of the SD Card (Replace files if they already exist). 
-  - Unzip FMCOMMS2-3 ZCU102 Rev 1.0.zip +  - **Image** file from **zynqmp-common**. 
-  - Copy BOOT.BIN, Image, system.dtb and uEnv.txt to the root of the SD Card FAT32 partition. (Replace files if they already exist)+  - **BOOT.BIN** from **zynqmp-zcu102-rev10-ad936x-fmcomms2-3-4**
 +  - **system.dtb** from **zynqmp-zcu102-rev10-ad9361-fmcomms2-3**.
   - Safely eject the SD Card   - Safely eject the SD Card
  
Line 47: Line 48:
  
 <WRAP box bggreen><wrap info>This specifies any shell prompt running on the target</wrap> <WRAP box bggreen><wrap info>This specifies any shell prompt running on the target</wrap>
-<xterm+<code
-Xilinx Zynq MP First Stage Boot Loader  +Xilinx Zynq MP First Stage Boot Loader 
-Release 2017.  Oct 19 2017  -  09:35:44 +Release 2021.  Aug  3 2022  -  10:34:27 
-NOTICE:  ATF running on XCZU9EG/silicon v4/RTL5.1 at 0xfffea000, with PMU firmware +NOTICE:  BL31: v2.4(release):xilinx-v2020.2-2024-g0a69763 
-NOTICE:  BL31: Secure code at 0x0 +NOTICE:  BL31: Built : 07:48:38Sep 23 2021 
-NOTICE:  BL31: Non secure code at 0x8000000 +PMUFW:  v1.1
-NOTICE:  BL31: v1.3(release):f9b244b +
-NOTICE:  BL31: Built : 09:35:17Oct 19 2017+
  
  
-U-Boot 2016.07 (Dec 16 2016 15:04:11 -0700) Xilinx ZynqMP ZCU102 revB+U-Boot 2018.01-21439-gd244ce5 (Jul 29 2021 16:37:20 +0100) Xilinx ZynqMP ZCU102 revA, Build: jenkins-development-build_uboot-1
  
 I2C:   ready I2C:   ready
 DRAM:  4 GiB DRAM:  4 GiB
 EL Level:       EL2 EL Level:       EL2
-Chip ID:        xczu9eg +Chip ID:        zu9eg 
-MMC:   sdhci@ff170000:+MMC:   sdhci@ff170000:(SD) 
-Using default environment+*** Warning - bad CRC, using default environment
  
 In:    serial@ff000000 In:    serial@ff000000
Line 70: Line 69:
 Err:   serial@ff000000 Err:   serial@ff000000
 Bootmode: LVL_SHFT_SD_MODE1 Bootmode: LVL_SHFT_SD_MODE1
-SCSI:  SATA link 0 timeout. +Net:   ZYNQ GEM: ff0e0000, phyaddr 15, interface rgmii-id
-SATA link 1 timeout. +
-AHCI 0001.0301 32 slots 2 ports 6 Gbps 0x3 impl SATA mode +
-flags: 64bit ncq pm clo only pmp fbss pio slum part ccc apst  +
-scanning bus for devices... +
-Found 0 device(s). +
-Net:   ZYNQ GEM: ff0e0000, phyaddr 12, interface rgmii-id+
  
 Warning: ethernet@ff0e0000 using MAC address from ROM Warning: ethernet@ff0e0000 using MAC address from ROM
 eth0: ethernet@ff0e0000 eth0: ethernet@ff0e0000
-Hit any key to stop autoboot: +Hit any key to stop autoboot:  0
 switch to partitions #0, OK switch to partitions #0, OK
 mmc0 is current device mmc0 is current device
 Device: sdhci@ff170000 Device: sdhci@ff170000
-Manufacturer ID: 3 +Manufacturer ID: 89 
-OEM: 5344 +OEM: 303 
-Name: SL08G +Name: NCard
 Tran Speed: 50000000 Tran Speed: 50000000
 Rd Block Len: 512 Rd Block Len: 512
 SD version 3.0 SD version 3.0
 High Capacity: Yes High Capacity: Yes
-Capacity: 7.GiB+Capacity: 14.GiB
 Bus Width: 4-bit Bus Width: 4-bit
 Erase Group Size: 512 Bytes Erase Group Size: 512 Bytes
 reading uEnv.txt reading uEnv.txt
-301 bytes read in ms (32.KiB/s)+407 bytes read in 21 ms (18.KiB/s)
 Loaded environment from uEnv.txt Loaded environment from uEnv.txt
 Importing environment from SD ... Importing environment from SD ...
 Running uenvcmd ... Running uenvcmd ...
-reading Image +Copying Linux from SD to RAM... 
-14209536 bytes read in 991 ms (13.7 MiB/s) +** No boot file defined **
-reading system.dtb +
-43396 bytes read in 48 ms (882.8 KiB/s) +
-Wrong Image Format for bootm command +
-ERROR: can't get kernel image!+
 reading system.dtb reading system.dtb
-43396 bytes read in 49 ms (864.3 KiB/s)+57875 bytes read in 30 ms (1.8 MiB/s)
 reading Image reading Image
-14209536 bytes read in 987 ms (13.MiB/s)+32514560 bytes read in 2188 ms (14.MiB/s)
 ## Flattened Device Tree blob at 04000000 ## Flattened Device Tree blob at 04000000
    Booting using the fdt blob at 0x4000000    Booting using the fdt blob at 0x4000000
-   Loading Device Tree to 000000000fff2000, end 000000000ffff983 ... OK+   Loading Device Tree to 000000000ffee000, end 000000000ffff212 ... OK
  
 Starting kernel ... Starting kernel ...
  
-[    0.000000] Booting Linux on physical CPU 0x0 +[    0.000000] Booting Linux on physical CPU 0x0000000000 [0x410fd034] 
-[    0.000000] Linux version 4.9.0-12434-g7f38ed6 (michael@mhenneri-D04) (gcc version 4.9.2 20140904 (prerelease) (crosstool-NG linaro-1.13.1-4.9-2014.09 - Linaro GCC 4.9-2014.09) ) #387 SMP Thu Oct 19 10 +[    0.000000] Linux version 5.10.0-98248-g1bbe32fa5182 (jenkins@romlxbuild1.adlk.analog.com) (aarch64-xilinx-linux-gcc.real (GCC) 10.2.0, GNU ld (GNU Binutils) 2.35.0.20200730) #1143 SMP Wed Aug 3 18:38:55 IST 2022 
-:59:25 CEST 2017 +[    0.000000] Machine modelZynqMP ZCU102 Rev1.0
-[    0.000000] Boot CPUAArch64 Processor [410fd034]+
 [    0.000000] earlycon: cdns0 at MMIO 0x00000000ff000000 (options '115200n8') [    0.000000] earlycon: cdns0 at MMIO 0x00000000ff000000 (options '115200n8')
-[    0.000000] bootconsole [cdns0] enabled +[    0.000000] printk: bootconsole [cdns0] enabled
-[    0.000000] efi: Getting EFI parameters from FDT:+
 [    0.000000] efi: UEFI not found. [    0.000000] efi: UEFI not found.
-[    0.000000] cma: Reserved 128 MiB at 0x0000000078000000+[    0.000000] cma: Reserved 256 MiB at 0x0000000070000000 
 +[    0.000000] Zone ranges: 
 +[    0.000000]   DMA      [mem 0x0000000000000000-0x000000003fffffff] 
 +[    0.000000]   DMA32    [mem 0x0000000040000000-0x00000000ffffffff] 
 +[    0.000000]   Normal   [mem 0x0000000100000000-0x000000087fffffff] 
 +[    0.000000] Movable zone start for each node 
 +[    0.000000] Early memory node ranges 
 +[    0.000000]   node   0: [mem 0x0000000000000000-0x000000007fffffff] 
 +[    0.000000]   node   0: [mem 0x0000000800000000-0x000000087fffffff] 
 +[    0.000000] Initmem setup node 0 [mem 0x0000000000000000-0x000000087fffffff]
 [    0.000000] psci: probing for conduit method from DT. [    0.000000] psci: probing for conduit method from DT.
-[    0.000000] psci: PSCIv1.detected in firmware.+[    0.000000] psci: PSCIv1.detected in firmware.
 [    0.000000] psci: Using standard PSCI v0.2 function IDs [    0.000000] psci: Using standard PSCI v0.2 function IDs
 [    0.000000] psci: MIGRATE_INFO_TYPE not supported. [    0.000000] psci: MIGRATE_INFO_TYPE not supported.
-[    0.000000] percpu: Embedded 21 pages/cpu @ffffffc87ff62000 s47488 r8192 d30336 u86016+[    0.000000] psci: SMC Calling Convention v1.2 
 +[    0.000000] percpu: Embedded 22 pages/cpu s49496 r8192 d32424 u90112
 [    0.000000] Detected VIPT I-cache on CPU0 [    0.000000] Detected VIPT I-cache on CPU0
-[    0.000000] CPU features: enabling workaround for ARM erratum 845719 +[    0.000000] CPU features: detected: ARM erratum 845719 
-[    0.000000] Built 1 zonelists in Zone order, mobility grouping on.  Total pages: 1034240 +[    0.000000] Built 1 zonelists, mobility grouping on.  Total pages: 1034240 
-[    0.000000] Kernel command line: earlycon=cdns,mmio,0xFF000000,115200n8 console=ttyPS0,115200n8 root=/dev/mmcblk0p2 rw rootwait cma=128M root=/dev/mmcblk0p2 rw rootwait +[    0.000000] Kernel command line: console=ttyPS0,115200 root=/dev/mmcblk0p2 rw earlycon rootfstype=ext4 rootwait clk_ignore_unused cpuidle.off=root=/dev/mmcblk0p2 rw rootwait 
-[    0.000000] PID hash table entries: 4096 (order: 332768 bytes) +[    0.000000] Dentry cache hash table entries: 524288 (order: 104194304 bytes, linear
-[    0.000000] Dentry cache hash table entries: 524288 (order: 104194304 bytes) +[    0.000000] Inode-cache hash table entries: 262144 (order: 92097152 bytes, linear
-[    0.000000] Inode-cache hash table entries262144 (order92097152 bytes) +[    0.000000] mem auto-initstack:offheap alloc:off, heap free:off 
-[    0.000000] software IO TLB [mem 0x73fff000-0x77fff000] (64MB) mapped at [ffffffc073fff000-ffffffc077ffefff] +[    0.000000] software IO TLB: mapped [mem 0x000000003bfff000-0x000000003ffff000] (64MB) 
-[    0.000000] Memory: 3918800K/4194304K available (9148K kernel code, 628K rwdata, 3524K rodata, 512K init, 389K bss, 144432K reserved, 131072K cma-reserved) +[    0.000000] Memory: 3761568K/4194304K available (15488K kernel code, 1672K rwdata, 11952K rodata, 2496K init, 507K bss, 170592K reserved, 262144K cma-reserved) 
-[    0.000000] Virtual kernel memory layout: +[    0.000000] rcuHierarchical RCU implementation
-[    0.000000]     modules : 0xffffff8000000000 - 0xffffff8008000000     128 MB) +[    0.000000] rcu:     RCU event tracing is enabled
-[    0.000000]     vmalloc 0xffffff8008000000 - 0xffffffbebfff0000     250 GB) +[    0.000000] rcu:     RCU restricting CPUs from NR_CPUS=8 to nr_cpu_ids=4. 
-[    0.000000]       .text : 0xffffff8008080000 - 0xffffff8008970000    9152 KB) +[    0.000000] rcu: RCU calculated value of scheduler-enlistment delay is 25 jiffies
-[    0.000000]     .rodata : 0xffffff8008970000 - 0xffffff8008cf0000    3584 KB) +[    0.000000] rcu: Adjusting geometry for rcu_fanout_leaf=16, nr_cpu_ids=4 
-[    0.000000]       .init 0xffffff8008cf0000 - 0xffffff8008d70000     512 KB) +[    0.000000] NR_IRQS: 64nr_irqs: 64, preallocated irqs: 0
-[    0.000000]       .data : 0xffffff8008d70000 - 0xffffff8008e0d200     629 KB) +
-[    0.000000]        .bss : 0xffffff8008e0d200 - 0xffffff8008e6e7e0     390 KB) +
-[    0.000000]     fixed   : 0xffffffbefe7fd000 - 0xffffffbefec00000    4108 KB) +
-[    0.000000]     PCI I/O : 0xffffffbefee00000 - 0xffffffbeffe00000      16 MB) +
-[    0.000000]     vmemmap : 0xffffffbf00000000 - 0xffffffc000000000       4 GB maximum) +
-[    0.000000]               0xffffffbf00000000 - 0xffffffbf1dc00000     476 MB actual) +
-[    0.000000]     memory  0xffffffc000000000 - 0xffffffc880000000   ( 34816 MB) +
-[    0.000000] Hierarchical RCU implementation. +
-[    0.000000]  Build-time adjustment of leaf fanout to 64+
-[    0.000000]  RCU restricting CPUs from NR_CPUS=8 to nr_cpu_ids=4. +
-[    0.000000] RCU: Adjusting geometry for rcu_fanout_leaf=64, nr_cpu_ids=4 +
-[    0.000000] NR_IRQS:64 nr_irqs:64 0+
 [    0.000000] GIC: Adjusting CPU interface base to 0x00000000f902f000 [    0.000000] GIC: Adjusting CPU interface base to 0x00000000f902f000
 [    0.000000] GIC: Using split EOI/Deactivate mode [    0.000000] GIC: Using split EOI/Deactivate mode
-[    0.000000] arm_arch_timerArchitected cp15 timer(s) running at 99.99MHz (phys). +[    0.000000] random: get_random_bytes called from start_kernel+0x31c/0x550 with crng_init=0 
-[    0.000000] clocksource: arch_sys_counter: mask: 0xffffffffffffff max_cycles: 0x171015c90f, max_idle_ns: 440795203080 ns +[    0.000000] arch_timer: cp15 timer(s) running at 100.00MHz (phys). 
-[    0.000003] sched_clock: 56 bits at 99MHz, resolution 10ns, wraps every 4398046511101ns +[    0.000000] clocksource: arch_sys_counter: mask: 0xffffffffffffff max_cycles: 0x171024e7e0, max_idle_ns: 440795205315 ns 
-[    0.008295] Console: colour dummy device 80x25 +[    0.000003] sched_clock: 56 bits at 100MHz, resolution 10ns, wraps every 4398046511100ns 
-[    0.012559] Calibrating delay loop (skipped), value calculated using timer frequency.. 199.99 BogoMIPS (lpj=399996+[    0.008485] Console: colour dummy device 80x25 
-[    0.022922] pid_max: default: 32768 minimum: 301 +[    0.012484] Calibrating delay loop (skipped), value calculated using timer frequency.. 200.00 BogoMIPS (lpj=400000
-[    0.027604] Mount-cache hash table entries: 8192 (order: 4, 65536 bytes) +[    0.022841] pid_max: default: 32768 minimum: 301 
-[    0.034174] Mountpoint-cache hash table entries: 8192 (order: 4, 65536 bytes) +[    0.027558] Mount-cache hash table entries: 8192 (order: 4, 65536 bytes, linear
-[    0.041780ASID allocator initialised with 65536 entries +[    0.034789] Mountpoint-cache hash table entries: 8192 (order: 4, 65536 bytes, linear
-[    0.047510] zynqmp_plat_init Power management API v0.3 +[    0.043434rcu: Hierarchical SRCU implementation
-[    0.052529] EFI services will not be available. +[    0.047568] EFI services will not be available. 
-[    0.057272] Detected VIPT I-cache on CPU1 +[    0.051941] smp: Bringing up secondary CPUs ... 
-[    0.057298] CPU1: Booted secondary processor [410fd034+[    0.056663] Detected VIPT I-cache on CPU1 
-[    0.057558] Detected VIPT I-cache on CPU2 +[    0.056702] CPU1: Booted secondary processor 0x0000000001 [0x410fd034
-[    0.057576] CPU2: Booted secondary processor [410fd034+[    0.057074] Detected VIPT I-cache on CPU2 
-[    0.057833] Detected VIPT I-cache on CPU3 +[    0.057098] CPU2: Booted secondary processor 0x0000000002 [0x410fd034
-[    0.057851] CPU3: Booted secondary processor [410fd034+[    0.057438] Detected VIPT I-cache on CPU3 
-[    0.057886] Brought up 4 CPUs +[    0.057461] CPU3: Booted secondary processor 0x0000000003 [0x410fd034
-[    0.088169] SMP: Total of 4 processors activated. +[    0.057508smp: Brought up 1 node, 4 CPUs 
-[    0.092846] CPU features: detected feature: 32-bit EL0 Support +[    0.091780] SMP: Total of 4 processors activated. 
-[    0.098643] CPU: All CPU(s) started at EL2 +[    0.096452] CPU features: detected: 32-bit EL0 Support 
-[    0.102714] alternatives: patching kernel code +[    0.101555] CPU features: detected: CRC32 instructions 
-[    0.107781] devtmpfs: initialized +[    0.106694] CPU: All CPU(s) started at EL2 
-[    0.116903] clocksource: jiffies: mask: 0xffffffff max_cycles: 0xffffffff, max_idle_ns: 7645041785100000 ns +[    0.110736] alternatives: patching kernel code 
-[    0.129064xormeasuring software checksum speed +[    0.116198] devtmpfs: initialized 
-[    0.173723]    8regs      2376.000 MB/sec +[    0.124524] Registered cp15_barrier emulation handler 
-[    0.213751   8regs_prefetch 2170.000 MB/sec +[    0.124575] Registered setend emulation handler 
-[    0.258121   32regs     2632.000 MB/sec +[    0.128552] clocksource: jiffies: mask: 0xffffffff max_cycles: 0xffffffff, max_idle_ns: 7645041785100000 ns 
-[    0.298150   32regs_prefetch 2459.000 MB/sec +[    0.138133futex hash table entries1024 (order4, 65536 bytes, linear) 
-[    0.302575xorusing function: 32regs (2632.000 MB/sec) +[    0.151555pinctrl coreinitialized pinctrl subsystem 
-[    0.308002pinctrl coreinitialized pinctrl subsystem +[    0.152221NETRegistered protocol family 16 
-[    0.313634NETRegistered protocol family 16 +[    0.156648DMApreallocated 512 KiB GFP_KERNEL pool for atomic allocations 
-[    0.333916cpuidleusing governor menu +[    0.162630DMApreallocated 512 KiB GFP_KERNEL|GFP_DMA pool for atomic allocations 
-[    0.338003] Failed to initialise IOMMU /amba/smmu@fd800000 +[    0.170358DMApreallocated 512 KiB GFP_KERNEL|GFP_DMA32 pool for atomic allocations 
-[    0.343528] vdso2 pages (code @ ffffff8008977000, data @ ffffff8008d74000) +[    0.178166auditinitializing netlink subsys (disabled) 
-[    0.350728] hw-breakpoint: found 6 breakpoint and 4 watchpoint registers. +[    0.183626audittype=2000 audit(0.116:1): state=initialized audit_enabled=0 res=
-[    0.357908DMA: preallocated 256 KiB pool for atomic allocations +[    0.183979] hw-breakpoint: found 6 breakpoint and 4 watchpoint registers. 
-[    0.378184reset_zynqmp reset-controller: Xilinx zynqmp reset driver probed +[    0.197991ASID allocator initialised with 65536 entries 
-[    0.385780ARM CCI_400_r1 PMU driver probed[    0.392280zynqmp-pinctrl ff180000.pinctrl: zynqmp pinctrl initialized +[    0.223864HugeTLB registered 1.00 GiB page size, pre-allocated 0 pages 
-[    0.418915] HugeTLB registered 2 MB page size, pre-allocated 0 pages +[    0.224919HugeTLB registered 32.0 MiB page size, pre-allocated 0 pages 
-[    0.493298] raid6: int64x1  gen()   458 MB/s +[    0.231589HugeTLB registered 2.00 MiB page size, pre-allocated 0 pages 
-[    0.565380] raid6: int64x1  xor()   478 MB/s +[    0.238259] HugeTLB registered 64.0 KiB page size, pre-allocated 0 pages 
-[    0.637451] raid6: int64x2  gen()   676 MB/s +[    1.303615] DRBG: Continuing without Jitter RNG 
-[    0.709444] raid6: int64x2  xor()   597 MB/s +[    1.381660] raid6: neonx8   gen()  2149 MB/s 
-[    0.781506] raid6: int64x4  gen()   981 MB/s +[    1.449715] raid6: neonx8   xor()  1599 MB/s 
-[    0.853541] raid6: int64x4  xor()   733 MB/s +[    1.517778] raid6: neonx4   gen()  2189 MB/s 
-[    0.925631] raid6: int64x8  gen()  1021 MB/s +[    1.585824] raid6: neonx4   xor()  1567 MB/s 
-[    0.997656] raid6: int64x8  xor()   722 MB/s +[    1.653890] raid6: neonx2   gen()  2072 MB/s 
-[    1.069712] raid6: neonx1   gen()   732 MB/s +[    1.721945] raid6: neonx2   xor()  1437 MB/s 
-[    1.141750] raid6: neonx1   xor()   755 MB/s +[    1.790018] raid6: neonx1   gen()  1775 MB/s 
-[    1.213798] raid6: neonx2   gen()  1135 MB/s +[    1.858055] raid6: neonx1   xor()  1220 MB/s 
-[    1.285853] raid6: neonx2   xor()  1005 MB/s +[    1.926112] raid6: int64x8  gen()  1438 MB/s 
-[    1.357898] raid6: neonx4   gen()  1507 MB/s +[    1.994171] raid6: int64x8  xor()   771 MB/s 
-[    1.429929] raid6: neonx4   xor()  1176 MB/s +[    2.062250] raid6: int64x4  gen()  1599 MB/s 
-[    1.501973] raid6: neonx8   gen()  1541 MB/s +[    2.130301] raid6: int64x4  xor()   820 MB/s 
-[    1.574022] raid6: neonx8   xor()  1190 MB/s +[    2.198373] raid6: int64x2  gen()  1399 MB/s 
-[    1.578099] raid6: using algorithm neonx8 gen() 1541 MB/s +[    2.266418] raid6: int64x2  xor()   749 MB/s 
-[    1.583461] raid6: .... xor() 1190 MB/s, rmw enabled +[    2.334489] raid6: int64x1  gen()  1031 MB/s 
-[    1.588392] raid6: using intx1 recovery algorithm +[    2.402538] raid6: int64x1  xor()   517 MB/s 
-[    1.594670] SCSI subsystem initialized +[    2.402576] raid6: using algorithm neonx4 gen() 2189 MB/s 
-[    1.598408] usbcore: registered new interface driver usbfs +[    2.406525] raid6: .... xor() 1567 MB/s, rmw enabled 
-[    1.603737] usbcore: registered new interface driver hub +[    2.411459] raid6: using neon recovery algorithm 
-[    1.609016] usbcore: registered new device driver usb +[    2.416472] iommu: Default domain type: Translated 
-[    1.614058media: Linux media interface: v0.10 +[    2.421095] SCSI subsystem initialized 
-[    1.618517] Linux video capture interface: v2.00 +[    2.424756] usbcore: registered new interface driver usbfs 
-[    1.623102pps_coreLinuxPPS API ver1 registered +[    2.430082] usbcore: registered new interface driver hub 
-[    1.628010pps_core: Software ver. 5.3.6 Copyright 2005-2007 Rodolfo Giometti <giometti@linux.it> +[    2.435360] usbcore: registered new device driver usb 
-[    1.637103PTP clock support registered +[    2.440484mc: Linux media interface: v0.10 
-[    1.641000] EDAC MCVer: 3.0.+[    2.444611videodev: Linux video capture interface: v2.00 
-[    1.644344] FPGA manager framework +[    2.450102EDAC MCVer: 3.0.0 
-[    1.647673] fpga-region fpga-full: FPGA Region probed +[    2.453528zynqmp-ipi-mbox mailbox@ff990400: Registered ZynqMP IPI mbox with TX/RX channels
-[    1.652667] Advanced Linux Sound Architecture Driver Initialized. +[    2.462023jesd204found devices and topologies 
-[    1.658903] Bluetooth: Core ver 2.22 +[    2.466674] FPGA manager framework 
-[    1.662303] NET: Registered protocol family 31 +[    2.470150] Advanced Linux Sound Architecture Driver Initialized. 
-[    1.666703] Bluetooth: HCI device and connection manager initialized +[    2.476459] Bluetooth: Core ver 2.22 
-[    1.673021] Bluetooth: HCI socket layer initialized +[    2.479633] NET: Registered protocol family 31 
-[    1.677863] Bluetooth: L2CAP socket layer initialized +[    2.484033] Bluetooth: HCI device and connection manager initialized 
-[    1.682893] Bluetooth: SCO socket layer initialized +[    2.490350] Bluetooth: HCI socket layer initialized 
-[    1.688281] clocksource: Switched to clocksource arch_sys_counter +[    2.495192] Bluetooth: L2CAP socket layer initialized 
-[    1.694241] VFS: Disk quotas dquot_6.6.0 +[    2.500216] Bluetooth: SCO socket layer initialized 
-[    1.698105] VFS: Dquot-cache hash table entries: 512 (order 0, 4096 bytes) +[    2.505419] clocksource: Switched to clocksource arch_sys_counter 
-[    1.709332] NET: Registered protocol family 2 +[    2.511214] VFS: Disk quotas dquot_6.6.0 
-[    1.713813] TCP established hash table entries: 32768 (order: 6, 262144 bytes) +[    2.515052] VFS: Dquot-cache hash table entries: 512 (order 0, 4096 bytes) 
-[    1.721090] TCP bind hash table entries: 32768 (order: 7, 524288 bytes) +[    2.525762] NET: Registered protocol family 2 
-[    1.727982] TCP: Hash tables configured (established 32768 bind 32768) +[    2.526501] tcp_listen_portaddr_hash hash table entries: 2048 (order: 3, 32768 bytes, linear) 
-[    1.734348] UDP hash table entries: 2048 (order: 4, 65536 bytes) +[    2.534679] TCP established hash table entries: 32768 (order: 6, 262144 bytes, linear
-[    1.740351] UDP-Lite hash table entries: 2048 (order: 4, 65536 bytes) +[    2.542709] TCP bind hash table entries: 32768 (order: 7, 524288 bytes, linear
-[    1.746835] NET: Registered protocol family 1 +[    2.550170] TCP: Hash tables configured (established 32768 bind 32768) 
-[    1.751191] RPC: Registered named UNIX socket transport module. +[    2.556330] UDP hash table entries: 2048 (order: 4, 65536 bytes, linear
-[    1.756924] RPC: Registered udp transport module. +[    2.562993] UDP-Lite hash table entries: 2048 (order: 4, 65536 bytes, linear
-[    1.761586] RPC: Registered tcp transport module. +[    2.570161] NET: Registered protocol family 1 
-[    1.766257] RPC: Registered tcp NFSv4.1 backchannel transport module. +[    2.574635] RPC: Registered named UNIX socket transport module. 
-[    1.773094hw perfeventsenabled with armv8_pmuv3 PMU driver7 counters available +[    2.580231] RPC: Registered udp transport module. 
-[    1.781328futex hash table entries1024 (order: 5131072 bytes) +[    2.584895] RPC: Registered tcp transport module. 
-[    1.787442auditinitializing netlink subsys (disabled) +[    2.589564] RPC: Registered tcp NFSv4.1 backchannel transport module. 
-[    1.792782audit: type=2000 audit(1.736:1): initialized +[    2.596554PCICLS 0 bytesdefault 64 
-[    1.798555] workingset: timestamp_bits=62 max_order=20 bucket_order=0 +[    2.600273hw perfeventsno interrupt-affinity property for /pmuguessing. 
-[    1.805477] NFS: Registering the id_resolver key type +[    2.607124hw perfeventsenabled with armv8_pmuv3 PMU driver, 7 counters available 
-[    1.810353] Key type id_resolver registered +[    2.615493Initialise system trusted keyrings 
-[    1.814492] Key type id_legacy registered +[    2.619163] workingset: timestamp_bits=62 max_order=20 bucket_order=0 
-[    1.818475] nfs4filelayout_init: NFSv4 File Layout Driver Registering... +[    2.626064] NFS: Registering the id_resolver key type 
-[    1.825144] jffs2: version 2.2. (NAND) (SUMMARY)  © 2001-2006 Red Hat, Inc. +[    2.630493] Key type id_resolver registered 
-[    1.836396Block layer SCSI generic (bsg) driver version 0.4 loaded (major 247+[    2.634630] Key type id_legacy registered 
-[    1.843592io scheduler noop registered +[    2.638627] nfs4filelayout_init: NFSv4 File Layout Driver Registering... 
-[    1.847483] io scheduler deadline registered +[    2.645289] jffs2: version 2.2. (NAND) (SUMMARY)  © 2001-2006 Red Hat, Inc. 
-[    1.851726] io scheduler cfq registered (default) +[    2.652460fuse: init (API version 7.32
-[    1.856952] nwl-pcie fd0e0000.pcieLink is DOWN +[    2.692910NETRegistered protocol family 38 
-[    1.861415OF: PCI: host bridge /amba/pcie@fd0e0000 ranges+[    2.692953xormeasuring software checksum speed 
-[    1.867017OF: PCI:   MEM 0xe0000000..0xefffffff -> 0xe0000000 +[    2.700640]    8regs            2363 MB/sec 
-   1.872890] OFPCI:   MEM 0x600000000..0x7ffffffff -> 0x600000000 +[    2.704306]    32regs           2799 MB/sec 
-[    1.879124nwl-pcie fd0e0000.pcie: PCI host bridge to bus 0000:00 +[    2.709249]    arm64_neon       2380 MB/sec 
-   1.885175] pci_bus 0000:00: root bus resource [bus 00-ff] +[    2.709442xorusing function32regs (2799 MB/sec) 
-[    1.890621pci_bus 0000:00: root bus resource [mem 0xe0000000-0xefffffff] +[    2.714464Key type asymmetric registered 
-   1.897455] pci_bus 0000:00: root bus resource [mem 0x600000000-0x7ffffffff pref] +[    2.718526Asymmetric key parser 'x509' registered 
-[    1.905185pci 0000:00:00.0: PCI bridge to [bus 01-0c] +[    2.723387Block layer SCSI generic (bsg) driver version 0.4 loaded (major 248) 
-[    1.911528xilinx-dpdma fd4c0000.dma: Xilinx DPDMA engine is probed +[    2.730724io scheduler mq-deadline registered 
-[    1.918053Write failed gate address:1000f02 +[    2.735220io scheduler kyber registered 
-[    1.922301xilinx-zynqmp-dma fd500000.dma: ZynqMP DMA driver Probe success +[    2.764706] Serial: 8250/16550 driver, 4 ports, IRQ sharing disabled 
-[    1.929279] xilinx-zynqmp-dma fd510000.dma: ZynqMP DMA driver Probe success +[    2.769571cacheinfo: Unable to detect cache hierarchy for CPU 0 
-[    1.936192xilinx-zynqmp-dma fd520000.dma: ZynqMP DMA driver Probe success +[    2.775675] brd: module loaded 
-[    1.943111xilinx-zynqmp-dma fd530000.dma: ZynqMP DMA driver Probe success +[    2.779959] loop: module loaded 
-[    1.950030] xilinx-zynqmp-dma fd540000.dma: ZynqMP DMA driver Probe success +[    2.780252Registered mathworks_ip class 
-[    1.956953] xilinx-zynqmp-dma fd550000.dma: ZynqMP DMA driver Probe success +[    2.783724libphyFixed MDIO Busprobed 
-[    1.963872] xilinx-zynqmp-dma fd560000.dma: ZynqMP DMA driver Probe success +[    2.786672tunUniversal TUN/TAP device driver1.6 
-[    1.970790] xilinx-zynqmp-dma fd570000.dma: ZynqMP DMA driver Probe success +[    2.790765CAN device driver interface 
-[    1.977742] zynqmp_pm firmware: Power management API v0.3 +[    2.795331usbcoreregistered new interface driver asix 
-[    2.015848] Serial: 8250/16550 driver, 4 ports, IRQ sharing disabled +[    2.799893usbcoreregistered new interface driver ax88179_178a 
-   2.032580] console [ttyPS0] enabled0 at MMIO 0xff000000 (irq = 39, base_baud = 6249999) is a xuartps +[    2.805922usbcoreregistered new interface driver cdc_ether 
-[    2.032580console [ttyPS0] enabled +[    2.811716usbcoreregistered new interface driver net1080 
-[    2.039540] bootconsole [cdns0] disabled +[    2.817337usbcoreregistered new interface driver cdc_subset 
-[    2.039540] bootconsole [cdns0] disabled +[    2.823218usbcoreregistered new interface driver zaurus 
-[    2.047964] ff010000.serialttyPS1 at MMIO 0xff010000 (irq = 40, base_baud = 6249999) is a xuartps +[    2.828771usbcoreregistered new interface driver cdc_ncm 
-[    2.057130] [drm] Initialized +[    2.835212ehci_hcdUSB 2.0 'Enhanced' Host Controller (EHCIDriver 
-[    2.060434] [drm] load() is defered & will be called again +[    2.840850ehci-pciEHCI PCI platform driver 
-[    2.066516] xilinx-drm-dp-sub fd4aa000.dp_sub: Xilinx DisplayPort Subsystem is probed +[    2.845685] usbcore: registered new interface driver uas 
-[    2.074419] Unable to detect cache hierarchy from DT for CPU 0 +[    2.850564] usbcore: registered new interface driver usb-storage 
-[    2.084703] brd: module loaded +[    2.856553] usbcore: registered new interface driver usbserial_generic 
-[    2.090388] loop: module loaded +[    2.863005usbserialUSB Serial support registered for generic 
-[    2.094184ahci-ceva fd0c0000.ahci: couldn't get PHY in node ahci: -517 +[    2.868976] usbcore: registered new interface driver ftdi_sio 
-[    2.100937mtdoopsmtd device (mtddev=name/number) must be supplied +[    2.874680usbserialUSB Serial support registered for FTDI USB Serial Device 
-[    2.108622] m25p80 spi0.0SPI-NOR-UniqueID 10000023536359160025001817101588af +[    2.881952] usbcore: registered new interface driver upd78f0730 
-[    2.115762m25p80 spi0.0found n25q512aexpected m25p80 +[    2.887832usbserialUSB Serial support registered for upd78f0730 
-[    2.121340] m25p80 spi0.0: n25q512a (131072 Kbytes) +[    2.895526rtc_zynqmp ffa60000.rtc: registered as rtc0 
-[    2.1261484 ofpart partitions found on MTD device spi0.0 +[    2.899252] rtc_zynqmp ffa60000.rtc: setting system clock to 2022-09-06T11:23:39 UTC (1662463419) 
-[    2.131599Creating 4 MTD partitions on "spi0.0"+[    2.908113] i2c /dev entries driver 
-[    2.1363760x000000000000-0x000000100000 "qspi-fsbl-uboot" +[    2.913394] usbcore: registered new interface driver uvcvideo 
-[    2.1425940x000000100000-0x000000600000 "qspi-linux" +[    2.917227] USB Video Class driver (1.1.1) 
-[    2.1482870x000000600000-0x000000620000 "qspi-device-tree" +[    2.922790] Bluetooth: HCI UART driver ver 2.3 
-[    2.1544780x000000620000-0x000000c00000 "qspi-rootfs" +[    2.925710] Bluetooth: HCI UART protocol H4 registered 
-[    2.161044libphyFixed MDIO Bus: probed +[    2.930808] Bluetooth: HCI UART protocol BCSP registered 
-[    2.166010] tun: Universal TUN/TAP device driver, 1.6 +[    2.936096] Bluetooth: HCI UART protocol LL registered 
-[    2.170974tun(C) 1999-2004 Max Krasnyansky <maxk@qualcomm.com> +[    2.941187] Bluetooth: HCI UART protocol ATH3K registered 
-[    2.177241] CAN device driver interface +[    2.946563] Bluetooth: HCI UART protocol Three-wire (H5) registered 
-[    2.184002macb ff0e0000.ethernetNot enabling partial store and forward +[    2.952812] Bluetooth: HCI UART protocol Intel registered 
-[    2.191184] libphy: MACB_mii_bus: probed +[    2.958151] Bluetooth: HCI UART protocol QCA registered 
-[    2.196867] macb ff0e0000.ethernet eth0: Cadence GEM rev 0x50070106 at 0xff0e0000 irq 23 (00:0a:35:03:6f:71+[    2.963354] usbcore: registered new interface driver bcm203x 
-[    2.206608TI DP83867 ff0e0000.etherne:0c: attached PHY driver [TI DP83867] (mii_bus:phy_addr=ff0e0000.etherne:0c, irq=-1) +[    2.968973] usbcore: registered new interface driver bpa10x 
-[    2.218237] usbcore: registered new interface driver asix +[    2.974512] usbcore: registered new interface driver bfusb 
-[    2.223588] usbcore: registered new interface driver ax88179_178a +[    2.979961] usbcore: registered new interface driver btusb 
-[    2.229647] usbcore: registered new interface driver cdc_ether +[    2.985427] usbcore: registered new interface driver ath3k 
-[    2.235462usbcore: registered new interface driver net1080 +[    2.990910] EDAC MC: ECC not enabled 
-[    2.241104] usbcore: registered new interface driver cdc_subset +[    2.994535] EDAC DEVICE0: Giving out device to module zynqmp-ocm-edac controller zynqmp_ocm: DEV ff960000.memory-controller (INTERRUPT) 
-[    2.247007usbcore: registered new interface driver zaurus +[    3.006844] sdhci: Secure Digital Host Controller Interface driver 
-[    2.252574] usbcore: registered new interface driver cdc_ncm +[    3.012641] sdhci: Copyright(c) Pierre Ossman 
-[    2.260581usbcore: registered new interface driver uas +[    3.016959] sdhci-pltfm: SDHCI platform and OF driver helper 
-[    2.265836usbcore: registered new interface driver usb-storage +[    3.023026] ledtrig-cpu: registered to indicate activity on CPUs 
-[    2.272059] mousedev: PS/2 mouse device common for all mice +[    3.028565SMCCCSOC_ID: ARCH_SOC_ID not implemented, skipping .... 
-[    2.277915] rtc_zynqmp ffa60000.rtc: rtc coreregistered ffa60000.rtc as rtc0 +[    3.034994zynqmp_firmware_probe Platform Management API v1.1 
-[    2.285081] i2c /dev entries driver +[    3.040751zynqmp_firmware_probe Trustzone version v1.0 
-[    2.290599] usbcore: registered new interface driver uvcvideo +[    3.078127] zynqmp-pinctrl firmware:zynqmp-firmware:pinctrl: zynqmp pinctrl initialized 
-[    2.296262] USB Video Class driver (1.1.1) +[    3.124554zynqmp-aes firmware:zynqmp-firmware:zynqmp-aes: will run requests pump with realtime priority 
-[    2.300823] cdns-wdt fd4d0000.watchdog: Xilinx Watchdog Timer at ffffff800a010000 with timeout 10s +[    3.140222alg: No test for xilinx-keccak-384 (zynqmp-keccak-384) 
-[    2.309866] Bluetooth: HCI UART driver ver 2.3 +[    3.140932alg: No test for xilinx-zynqmp-rsa (zynqmp-rsa) 
-[    2.314223] Bluetooth: HCI UART protocol H4 registered +[    3.146446] usbcoreregistered new interface driver usbhid 
-[    2.319341] Bluetooth: HCI UART protocol BCSP registered +[    3.151833usbhidUSB HID core driver 
-[    2.324639] Bluetooth: HCI UART protocol LL registered +[    3.162357axi_sysid 85000000.axi-sysid-0AXI System ID core version (1.01.a) found 
-[    2.329757] Bluetooth: HCI UART protocol ATH3K registered +[    3.164705axi_sysid 85000000.axi-sysid-0[fmcomms2] on [zcu102] git branch <hdl_2021_r1git <6a6c5acc8ec422c068c7787cdeb5b0ee4ae1aa51> clean [2022-05-20 17:39:13] UTC 
-[    2.335138] Bluetooth: HCI UART protocol Three-wire (H5) registered +[    3.180217fpga_manager fpga0Xilinx ZynqMP FPGA Manager registered 
-[    2.341424] Bluetooth: HCI UART protocol Intel registered +[    3.186586usbcore: registered new interface driver snd-usb-audio 
-[    2.346803] Bluetooth: HCI UART protocol Broadcom registered +[    3.194020] pktgen: Packet Generator for packet performance testing. Version: 2.75 
-[    2.352412] Bluetooth: HCI UART protocol QCA registered +[    3.200241] Initializing XFRM netlink socket 
-[    2.357653] usbcore: registered new interface driver bcm203x +[    3.204059] NET: Registered protocol family 10 
-[    2.363292] usbcore: registered new interface driver bpa10x +[    3.208793Segment Routing with IPv6 
-[    2.368849] usbcore: registered new interface driver bfusb +[    3.212168] sit: IPv6, IPv4 and MPLS over IPv4 tunneling driver 
-[    2.374315] usbcore: registered new interface driver btusb +[    3.218242] NET: Registered protocol family 17 
-[    2.379755] Bluetooth: Generic Bluetooth SDIO driver ver 0.1 +[    3.222335] NET: Registered protocol family 15 
-[    2.385442] usbcore: registered new interface driver ath3k +[    3.226824] can: controller area network core 
-[    2.390975] EDAC MC: ECC not enabled +[    3.231087] NET: Registered protocol family 29 
-[    2.394619] EDAC DEVICE0: Giving out device to module zynqmp-ocm-edac controller zynqmp_ocm: DEV ff960000.memory-controller (INTERRUPT) +[    3.235474] can: raw protocol 
-[    2.407548] sdhci: Secure Digital Host Controller Interface driver +[    3.238410] can: broadcast manager protocol 
-[    2.413643] sdhci: Copyright(c) Pierre Ossman +[    3.242565] can: netlink gateway max_hops=1 
-[    2.417981] sdhci-pltfm: SDHCI platform and OF driver helper +[    3.246958] Bluetooth: RFCOMM TTY layer initialized 
-[    2.425963] ledtrig-cpu: registered to indicate activity on CPUs +[    3.251738] Bluetooth: RFCOMM socket layer initialized 
-[    2.431988usbcoreregistered new interface driver usbhid +[    3.256847] Bluetooth: RFCOMM ver 1.11 
-[    2.437479usbhid: USB HID core driver +[    3.260557] Bluetooth: BNEP (Ethernet Emulation) ver 1.3 
-[    2.441982ad9361 spi32766.0: ad9361_probe enter (ad9361) +[    3.265828] Bluetooth: BNEP filters: protocol multicast 
-[    2.451251fpga_manager fpga0Xilinx ZynqMP FPGA Manager registered +[    3.271022] Bluetooth: BNEP socket layer initialized 
-[    2.458173] xilinx-dp-snd-pcm dp_snd_pcm0: Xilinx DisplayPort Sound PCM probed +[    3.275949] Bluetooth: HIDP (Human Interface Emulation) ver 1.2 
-[    2.465416] xilinx-dp-snd-pcm dp_snd_pcm1Xilinx DisplayPort Sound PCM probed +[    3.281833] Bluetooth: HIDP socket layer initialized 
-[    2.475104Write failed to divider address:fd1a007c +[    3.286900] 9pnet: Installing 9P2000 support 
-[    2.480308xilinx-dp-snd-codec dp_snd_codec0Xilinx DisplayPort Sound Codec probed +[    3.291011] NET: Registered protocol family 36 
-[    2.488349xilinx-dp-snd-card dp_snd_cardxilinx-dp-snd-codec-dai <-xilinx-dp-snd-codec-dai mapping ok +[    3.295427] Key type dns_resolver registered 
-[    2.498020xilinx-dp-snd-card dp_snd_cardxilinx-dp-snd-codec-dai <-> xilinx-dp-snd-codec-dai mapping ok +[    3.299869] registered taskstats version 1 
-[    2.507912xilinx-dp-snd-card dp_snd_card: Xilinx DisplayPort Sound Card probed +[    3.303725] Loading compiled-in X.509 certificates 
-[    2.515314] pktgen: Packet Generator for packet performance testing. Version: 2.75 +[    3.308834] Btrfs loaded, crc32c=crc32c-generic 
-[    2.523003] Netfilter messages via NETLINK v0.30. +[    3.321662ff000000.serialttyPS0 at MMIO 0xff000000 (irq = 48, base_baud = 6249999) is a xuartps 
-[    2.527729] ip_tables: (C) 2000-2006 Netfilter Core Team +[    3.330682printk: console [ttyPS0enabled 
-[    2.532999] Initializing XFRM netlink socket +[    3.330682printk: console [ttyPS0] enabled 
-[    2.537441] NET: Registered protocol family 10 +[    3.334977] printkbootconsole [cdns0] disabled 
-[    2.542258ip6_tables: (C) 2000-2006 Netfilter Core Team +[    3.334977] printkbootconsole [cdns0] disabled 
-[    2.547607] sit: IPv6, IPv4 and MPLS over IPv4 tunneling driver +[    3.344620ff010000.serialttyPS1 at MMIO 0xff010000 (irq = 49base_baud = 6249999) is a xuartps 
-[    2.553797] NET: Registered protocol family 17 +[    3.357820of-fpga-region fpga-fullFPGA Region probed 
-[    2.558167] NET: Registered protocol family 15 +[    3.364788nwl-pcie fd0e0000.pciehost bridge /axi/pcie@fd0e0000 ranges: 
-[    2.562593] bridge: filtering via arp/ip/ip6tables is no longer available by default. Update your scripts to load br_netfilter if you need this. +[    3.371686] nwl-pcie fd0e0000.pcie     MEM 0x00e0000000..0x00efffffff -> 0x00e0000000 
-[    2.575517] Ebtables v2.0 registered +[    3.379693nwl-pcie fd0e0000.pcie     MEM 0x0600000000..0x07ffffffff -> 0x0600000000 
-[    2.579108] can: controller area network core (rev 20120528 abi 9) +[    3.387762nwl-pcie fd0e0000.pcieLink is DOWN 
-[    2.585265] NET: Registered protocol family 29 +[    3.392522nwl-pcie fd0e0000.pcie: PCI host bridge to bus 0000:00 
-[    2.589672] can: raw protocol (rev 20120528) +[    3.398706pci_bus 0000:00: root bus resource [bus 00-ff] 
-[    2.593918] can: broadcast manager protocol (rev 20161123 t) +[    3.404187pci_bus 0000:00: root bus resource [mem 0xe0000000-0xefffffff
-[    2.599563] can: netlink gateway (rev 20130117) max_hops=1 +[    3.411056pci_bus 0000:00: root bus resource [mem 0x600000000-0x7ffffffff pref
-[    2.605084] Bluetooth: RFCOMM TTY layer initialized +[    3.418560pci 0000:00:00.0[10ee:d021] type 01 class 0x060400 
-[    2.609895] Bluetooth: RFCOMM socket layer initialized +[    3.424617] pci 0000:00:00.0: PME# supported from D0 D1 D2 D3hot 
-[    2.615018] Bluetooth: RFCOMM ver 1.11 +[    3.435384] pci 0000:00:00.0: PCI bridge to [bus 01-0c] 
-[    2.618745] Bluetooth: BNEP (Ethernet Emulation) ver 1.3 +[    3.441391xilinx-zynqmp-dma fd500000.dma: ZynqMP DMA driver Probe success 
-[    2.624038] Bluetooth: BNEP filters: protocol multicast +[    3.448578] xilinx-zynqmp-dma fd510000.dmaZynqMP DMA driver Probe success 
-[    2.629252] Bluetooth: BNEP socket layer initialized +[    3.455747xilinx-zynqmp-dma fd520000.dma: ZynqMP DMA driver Probe success 
-[    2.634194] Bluetooth: HIDP (Human Interface Emulation) ver 1.2 +[    3.462922] xilinx-zynqmp-dma fd530000.dmaZynqMP DMA driver Probe success 
-[    2.640099] Bluetooth: HIDP socket layer initialized +[    3.470090xilinx-zynqmp-dma fd540000.dma: ZynqMP DMA driver Probe success 
-[    2.645194] 9pnet: Installing 9P2000 support +[    3.477263] xilinx-zynqmp-dma fd550000.dmaZynqMP DMA driver Probe success 
-[    2.649388] Key type dns_resolver registered +[    3.484430xilinx-zynqmp-dma fd560000.dma: ZynqMP DMA driver Probe success 
-[    2.654078] registered taskstats version 1 +[    3.491596] xilinx-zynqmp-dma fd570000.dmaZynqMP DMA driver Probe success 
-[    2.658470] Btrfs loaded, crc32c=crc32c-generic +[    3.498992xilinx-zynqmp-dpdma fd4c0000.dma-controllerXilinx DPDMA engine is probed 
-[    2.672950PLLshutdown +[    3.507572] ahci-ceva fd0c0000.ahci: supply ahci not found, using dummy regulator 
-[    2.675574] [drmload() is defered & will be called again +[    3.515112ahci-ceva fd0c0000.ahcisupply phy not foundusing dummy regulator 
-[    2.681633xilinx-psgtr fd400000.zynqmp_phyLane:1 type:8 protocol:4 pll_locked:yes +[    3.523616ad9361 spi1.0ad9361_probe enter (ad9361) 
-[    2.689628xilinx-drm-dp fd4a0000.dpdevice foundversion 4.010 +[    3.529870ad9361 spi1.0No GPIOs defined for ext band ctrl 
-[    2.695724xilinx-drm-dp fd4a0000.dpDisplay Port, version 1.0200 (tx) +[    3.545027] randomfast init done 
-[    2.702755xilinx-psgtr fd400000.zynqmp_phyLane:3 type:3 protocol:2 pll_locked:yes +[    3.760865] ad9361 spi1.0: ad9361_probe : AD936x Rev 0 successfully initialized 
-[    2.710553ahci-ceva fd0c0000.ahciAHCI 0001.0301 32 slots 2 ports 6 Gbps 0x3 impl platform mode +[    3.768944spi-nor spi0.0: SPI-NOR-UniqueID 2eae9700180c001300980596158d 
-[    2.719447ahci-ceva fd0c0000.ahciflags: 64bit ncq sntf pm clo only pmp fbs pio slum part ccc sds apst  +[    3.775732spi-nor spi0.0: found mt25qu512a, expected m25p80 
-[    2.729782scsi host0: ahci-ceva +[    3.782144spi-nor spi0.0: trying to lock already unlocked area 
-[    2.733211scsi host1ahci-ceva +[    3.788148] spi-nor spi0.0: mt25qu512a (131072 Kbytes) 
-[    2.736573ata1SATA max UDMA/133 mmio [mem 0xfd0c0000-0xfd0c1fffport 0x100 irq 36 +[    3.7933114 fixed-partitions partitions found on MTD device spi0.0 
-[    2.744407ata2SATA max UDMA/133 mmio [mem 0xfd0c0000-0xfd0c1fffport 0x180 irq 36 +[    3.799654] Creating 4 MTD partitions on "spi0.0"
-[    2.754766xilinx-psgtr fd400000.zynqmp_phyLane:type:protocol:3 pll_locked:yes +[    3.8044380x000000000000-0x000000100000 : "qspi-fsbl-uboot" 
-[    2.784444xhci-hcd xhci-hcd.0.autoxHCI Host Controller +[    3.810953] 0x000000100000-0x000000600000 "qspi-linux" 
-[    2.789857xhci-hcd xhci-hcd.0.autonew USB bus registered, assigned bus number 1 +[    3.8169180x000000600000-0x000000620000 "qspi-device-tree" 
-[    2.797694xhci-hcd xhci-hcd.0.autohcc params 0x0238f625 hci version 0x100 quirks 0x02010810 +[    3.8234190x000000620000-0x000000c00000 "qspi-rootfs" 
-[    2.806327xhci-hcd xhci-hcd.0.autoirq 221, io mem 0xfe200000 +[    3.832024macb ff0e0000.ethernetNot enabling partial store and forward 
-[    2.812401usb usb1New USB device found, idVendor=1d6b, idProduct=0002 +[    3.839556libphyMACB_mii_busprobed 
-[    2.819111usb usb1New USB device strings: Mfr=3Product=2, SerialNumber=1 +[    3.844359macb ff0e0000.ethernet eth0Cadence GEM rev 0x50070106 at 0xff0e0000 irq 30 (00:0a:35:05:60:78) 
-[    2.826311usb usb1ProductxHCI Host Controller +[    3.854582] xilinx-axipmon ffa00000.perf-monitor: Probed Xilinx APM 
-[    2.831171usb usb1ManufacturerLinux 4.9.0-12434-g7f38ed6 xhci-hcd +[    3.861146xilinx-axipmon fd0b0000.perf-monitorProbed Xilinx APM 
-[    2.837771usb usb1: SerialNumber: xhci-hcd.0.auto +[    3.867675xilinx-axipmon fd490000.perf-monitorProbed Xilinx APM 
-[    2.842928hub 1-0:1.0: USB hub found +[    3.874214xilinx-axipmon ffa10000.perf-monitorProbed Xilinx APM 
-[    2.846609hub 1-0:1.0: 1 port detected +[    3.884317pca953x 0-0020supply vcc not found, using dummy regulator 
-[    2.850655xhci-hcd xhci-hcd.0.autoxHCI Host Controller +[    3.891010pca953x 0-0020: using no AI 
-[    2.856065xhci-hcd xhci-hcd.0.autonew USB bus registered, assigned bus number 2 +[    3.895486] gpio-496 (sel0)hogged as output/low 
-[    2.863810usb usb2New USB device found, idVendor=1d6b, idProduct=0003 +[    3.900390gpio-497 (sel1)hogged as output/high 
-[    2.870516usb usb2New USB device strings: Mfr=3, Product=2, SerialNumber=1 +[    3.905378gpio-498 (sel2)hogged as output/high 
-[    2.877716usb usb2Product: xHCI Host Controller +[    3.910360gpio-499 (sel3)hogged as output/high 
-[    2.882576usb usb2ManufacturerLinux 4.9.0-12434-g7f38ed6 xhci-hcd +[    3.915564] pca953x 0-0021supply vcc not found, using dummy regulator 
-[    2.889172usb usb2SerialNumberxhci-hcd.0.auto +[    3.922232] pca953x 0-0021: using no AI 
-[    2.894296hub 2-0:1.0USB hub found +[    3.928031] ina2xx 2-0040: power monitor ina226 (Rshunt = 5000 uOhm) 
-[    2.897977hub 2-0:1.01 port detected +[    3.935065] ina2xx 2-0041: power monitor ina226 (Rshunt = 5000 uOhm) 
-[    2.902774cdns-i2c ff020000.i2c400 kHz mmio ff020000 irq 25 +[    3.942101] ina2xx 2-0042: power monitor ina226 (Rshunt = 5000 uOhm) 
-[    2.908837] 0-0020 supply vcc not found, using dummy regulator +[    3.949132] ina2xx 2-0043: power monitor ina226 (Rshunt = 5000 uOhm) 
-[    2.915542GPIO line 322 (sel0) hogged as output/low +[    3.956161] ina2xx 2-0044: power monitor ina226 (Rshunt = 5000 uOhm) 
-[    2.920714GPIO line 323 (sel1) hogged as output/high +[    3.963199] ina2xx 2-0045: power monitor ina226 (Rshunt = 5000 uOhm) 
-[    2.925971GPIO line 324 (sel2) hogged as output/high +[    3.970228] ina2xx 2-0046: power monitor ina226 (Rshunt = 5000 uOhm) 
-[    2.931224GPIO line 325 (sel3) hogged as output/high +[    3.977262] ina2xx 2-0047: power monitor ina226 (Rshunt = 5000 uOhm) 
-[    2.936397] pca953x 0-0020: interrupt support not compiled in +[    3.984347] ina2xx 2-004a: power monitor ina226 (Rshunt = 5000 uOhm) 
-[    2.942137] 0-0021 supply vcc not found, using dummy regulator +[    3.991379] ina2xx 2-004b: power monitor ina226 (Rshunt = 5000 uOhm) 
-[    2.948496] pca953x 0-0021: interrupt support not compiled in +[    3.997782] i2c i2c-0: Added multiplexed i2c bus 2 
-[    2.954961] ina2xx 3-0040: power monitor ina226 (Rshunt = 5000 uOhm) +[    4.003375] ina2xx 3-0040: power monitor ina226 (Rshunt = 2000 uOhm) 
-[    2.961638] ina2xx 3-0041: power monitor ina226 (Rshunt = 5000 uOhm) +[    4.010409] ina2xx 3-0041: power monitor ina226 (Rshunt = 5000 uOhm) 
-[    2.968324] ina2xx 3-0042: power monitor ina226 (Rshunt = 5000 uOhm) +[    4.017451] ina2xx 3-0042: power monitor ina226 (Rshunt = 5000 uOhm) 
-[    2.974997] ina2xx 3-0043: power monitor ina226 (Rshunt = 5000 uOhm) +[    4.024482] ina2xx 3-0043: power monitor ina226 (Rshunt = 5000 uOhm) 
-[    2.981674] ina2xx 3-0044: power monitor ina226 (Rshunt = 5000 uOhm) +[    4.031519] ina2xx 3-0044: power monitor ina226 (Rshunt = 5000 uOhm) 
-[    2.988353] ina2xx 3-0045: power monitor ina226 (Rshunt = 5000 uOhm) +[    4.038557] ina2xx 3-0045: power monitor ina226 (Rshunt = 5000 uOhm) 
-[    2.995026] ina2xx 3-0046: power monitor ina226 (Rshunt = 5000 uOhm) +[    4.045584ina2xx 3-0046: power monitor ina226 (Rshunt = 5000 uOhm) 
-[    3.001695] ina2xx 3-0047: power monitor ina226 (Rshunt = 5000 uOhm) +[    4.052613] ina2xx 3-0047: power monitor ina226 (Rshunt = 5000 uOhm) 
-[    3.008377] ina2xx 3-004a: power monitor ina226 (Rshunt = 5000 uOhm) +[    4.059015] i2c i2c-0: Added multiplexed i2c bus 3 
-[    3.015052] ina2xx 3-004b: power monitor ina226 (Rshunt = 5000 uOhm) +[    4.114980] i2c i2c-0: Added multiplexed i2c bus 4 
-[    3.021337] i2c i2c-0: Added multiplexed i2c bus 3 +[    4.119924] i2c i2c-0: Added multiplexed i2c bus 5 
-[    3.026696] ina2xx 4-0040: power monitor ina226 (Rshunt = 2000 uOhm) +[    4.124719] pca954x 0-0075: registered 4 multiplexed busses for I2C mux pca9544 
-[    3.033378] ina2xx 4-0041: power monitor ina226 (Rshunt = 5000 uOhm) +[    4.132067] cdns-i2c ff020000.i2c: 400 kHz mmio ff020000 irq 32 
-[    3.040062] ina2xx 4-0042: power monitor ina226 (Rshunt = 5000 uOhm) +[    4.139870] at24 6-0054: supply vcc not found, using dummy regulator 
-[    3.046736] ina2xx 4-0043: power monitor ina226 (Rshunt = 5000 uOhm) +[    4.146758] at24 6-0054: 1024 byte 24c08 EEPROM, writable, 1 bytes/write 
-[    3.053408] ina2xx 4-0044: power monitor ina226 (Rshunt = 5000 uOhm) +[    4.153506] i2c i2c-1: Added multiplexed i2c bus 
-[    3.060088] ina2xx 4-0045: power monitor ina226 (Rshunt = 5000 uOhm) +[    4.159790] si5341 7-0036: Chip: 5341 Grade: 1 Rev: 1 
-[    3.066486ata2: SATA link down (SStatus 0 SControl 330) +[    4.197775] i2c i2c-1: Added multiplexed i2c bus 7 
-[    3.066768] ina2xx 4-0046: power monitor ina226 (Rshunt = 5000 uOhm) +[    4.205453] si570 8-005d: registered, current frequency 300000000 Hz 
-[    3.067173] ina2xx 4-0047: power monitor ina226 (Rshunt = 5000 uOhm) +[    4.211852] i2c i2c-1: Added multiplexed i2c bus 8 
-[    3.067191] i2c i2c-0: Added multiplexed i2c bus +[    4.231656] si570 9-005d: registered, current frequency 148500000 Hz 
-[    3.088296] random: fast init done +[    4.238059] i2c i2c-1: Added multiplexed i2c bus 
-[    3.092659] ata1: SATA link down (SStatus 0 SControl 330) +[    4.243109] si5324 10-0069: si5328 probed 
-[    3.097635] i2c i2c-0: Added multiplexed i2c bus 5 +[    4.309842] si5324 10-0069: si5328 probe successful 
-[    3.097773] i2c i2c-0: Added multiplexed i2c bus 6 +[    4.314774] i2c i2c-1: Added multiplexed i2c bus 10 
-[    3.097777] pca954x 0-0075: registered 4 multiplexed busses for I2C mux pca9544 +[    4.319807] i2c i2c-1: Added multiplexed i2c bus 11 
-[    3.098668] cdns-i2c ff030000.i2c: 400 kHz mmio ff030000 irq 26 +[    4.324836] i2c i2c-1: Added multiplexed i2c bus 12 
-[    3.099569] at24 7-0054: 1024 byte 24c08 EEPROM, writable, 1 bytes/write +[    4.329872] i2c i2c-1: Added multiplexed i2c bus 13 
-[    3.099585] i2c i2c-1: Added multiplexed i2c bus 7 +[    4.334748] pca954x 1-0074: registered 8 multiplexed busses for I2C switch pca9548 
-[    3.099785] i2c i2c-1: Added multiplexed i2c bus 8 +[    4.343941] at24 14-0050: supply vcc not found, using dummy regulator 
-[    3.101809] si570 9-005d: registered, current frequency 300000000 Hz +[    4.350728] at24 14-0050: 256 byte 24c02 EEPROM, writable, 1 bytes/write 
-[    3.101826] i2c i2c-1: Added multiplexed i2c bus 9 +[    4.357475] i2c i2c-1: Added multiplexed i2c bus 14 
-[    3.115761] si570 10-005d: registered, current frequency 148500000 Hz +[    4.362511] i2c i2c-1: Added multiplexed i2c bus 15 
-[    3.115778] i2c i2c-1: Added multiplexed i2c bus 10 +[    4.367546] i2c i2c-1: Added multiplexed i2c bus 16 
-[    3.115985] i2c i2c-1: Added multiplexed i2c bus 11 +[    4.372580] i2c i2c-1: Added multiplexed i2c bus 17 
-[    3.116117] i2c i2c-1: Added multiplexed i2c bus 12 +[    4.377614] i2c i2c-1: Added multiplexed i2c bus 18 
-[    3.116245] i2c i2c-1: Added multiplexed i2c bus 13 +[    4.382653] i2c i2c-1: Added multiplexed i2c bus 19 
-[    3.116388] i2c i2c-1: Added multiplexed i2c bus 14 +[    4.387696] i2c i2c-1: Added multiplexed i2c bus 20 
-[    3.116392] pca954x 1-0074: registered 8 multiplexed busses for I2C switch pca9548 +[    4.392735] i2c i2c-1: Added multiplexed i2c bus 21 
-[    3.117790] at24 15-0050: 256 byte 24c02 EEPROM, writable, 1 bytes/write +[    4.397610] pca954x 1-0075: registered 8 multiplexed busses for I2C switch pca9548 
-[    3.117806] i2c i2c-1: Added multiplexed i2c bus 15 +[    4.405217] cdns-i2c ff030000.i2c: 400 kHz mmio ff030000 irq 33 
-[    3.117945] i2c i2c-1: Added multiplexed i2c bus 16 +[    4.415375] cdns-wdt fd4d0000.watchdog: Xilinx Watchdog Timer with timeout 60s 
-[    3.118081] i2c i2c-1: Added multiplexed i2c bus 17 +[    4.459408] mmc0: SDHCI controller on ff170000.mmc [ff170000.mmc] using ADMA 64-bit 
-[    3.118547] i2c i2c-1: Added multiplexed i2c bus 18 +[    4.502741] mmc0: new high speed SDHC card at address b368 
-[    3.118691] i2c i2c-1: Added multiplexed i2c bus 19 +[    4.508564] mmcblk0: mmc0:b368 NCard 14.GiB 
-[    3.118830] i2c i2c-1: Added multiplexed i2c bus 20 +[    4.514406]  mmcblk0: p1 p2 p3 
-[    3.118974] i2c i2c-1: Added multiplexed i2c bus 21 +[    5.255248cf_axi_adc 99020000.cf-ad9361-lpcADI AIM (10.01.b) at 0x99020000 mapped to 0x(____ptrval____), probed ADC AD9361 as MASTER 
-[    3.119112] i2c i2c-1: Added multiplexed i2c bus 22 +[    5.285857] cf_axi_dds 99024000.cf-ad9361-dds-core-lpc: Analog Devices CF_AXI_DDS_DDS MASTER (9.01.b) at 0x99024000 mapped to 0x(____ptrval____), probed DDS AD9361 
-[    3.119117] pca954x 1-0075: registered 8 multiplexed busses for I2C switch pca9548 +[    5.301580zynqmp-display fd4a0000.displayvtc bridge property not present 
-[    3.172287] mmc0: SDHCI controller on ff170000.sdhci [ff170000.sdhci] using ADMA 64-bit +[    5.309785zynqmp_clk_divider_set_rate() set divider failed for spi1_ref_div1, ret = -13 
-[    3.172708] ad9361 spi32766.0: ad9361_probe : enter (ad9361) +[    5.319469] xilinx-dp-snd-codec fd4a0000.display:zynqmp_dp_snd_codec0: Failed to get required clock freq 
-[    3.304741] mmc0: new ultra high speed DDR50 SDHC card at address e624 +[    5.328966] xilinx-dp-snd-codec: probe of fd4a0000.display:zynqmp_dp_snd_codec0 failed with error -22 
-[    3.311462] mmcblk0: mmc0:e624 SL08G 7.40 GiB  +[    5.338532] xilinx-dp-snd-pcm zynqmp_dp_snd_pcm0: Xilinx DisplayPort Sound PCM probed 
-[    3.316713]  mmcblk0: p1 p2 p3 +[    5.346700] xilinx-dp-snd-pcm zynqmp_dp_snd_pcm1: Xilinx DisplayPort Sound PCM probed 
-[    3.416956ad9361 spi32766.0ad9361_probe : AD936x Rev 2 successfully initialized +[    5.354967] OF: graph: no port node found in /axi/display@fd4a0000 
-[    3.435516] cf_axi_dds 99024000.cf-ad9361-dds-core-lpc: Analog Devices CF_AXI_DDS_DDS MASTER (9.00.b) at 0x99024000 mapped to 0xffffff800a178000, probed DDS AD9361 +[    5.361363xlnx-drm xlnx-drm.0: bound fd4a0000.display (ops 0xffffffc010ffd810) 
-[    3.450477PLLenable +[    6.445437] zynqmp-display fd4a0000.display: [drm] Cannot find any crtc or sizes 
-[    3.453191PLLshutdown +[    6.453085] [drm] Initialized xlnx 1.0.0 20130509 for fd4a0000.display on minor 0 
-[    3.455891] OF: graph: no port node found in /xilinx_drm +[    6.460577zynqmp-display fd4a0000.displayZynqMP DisplayPort Subsystem driver probed 
-[    3.461122[drm] Supports vblank timestamp caching Rev 2 (21.10.2013). +[    6.468896ahci-ceva fd0c0000.ahci: supply ahci not found, using dummy regulator 
-[    3.467715] [drm] No driver support for vblank timestamp query+   6.476444ahci-ceva fd0c0000.ahci: supply phy not found, using dummy regulator 
-[    3.473635xilinx-drm xilinx_drmNo connectors reported connected with modes +[    6.483895ahci-ceva fd0c0000.ahcisupply target not found, using dummy regulator 
-[    3.480828] [drmCannot find any crtc or sizes going 1024x768 +[    6.501782ahci-ceva fd0c0000.ahciAHCI 0001.0301 32 slots 2 ports 6 Gbps 0x3 impl platform mode 
-[    3.492477Consoleswitching to colour frame buffer device 128x48 +[    6.510739ahci-ceva fd0c0000.ahci: flags: 64bit ncq sntf pm clo only pmp fbs pio slum part ccc sds apst 
-[    3.503298xilinx-drm xilinx_drmfb0:  frame buffer device +   6.521288] scsi host0: ahci-ceva 
-[    3.532289] [drm] Initialized xilinx_drm 1.0.0 20130509 on minor 0 +[    6.524875scsi host1: ahci-ceva 
-[    4.557574cf_axi_adc 99020000.cf-ad9361-lpc: ADI AIM (10.00.b) at 0x99020000 mapped to 0xffffff800a4c0000, probed ADC AD9361 as MASTER +[    6.528305] ata1: SATA max UDMA/133 mmio [mem 0xfd0c0000-0xfd0c1fff] port 0x100 irq 45 
-[    4.570069] input: gpio-keys as /devices/platform/gpio-keys/input/input0 +[    6.536221] ata2: SATA max UDMA/133 mmio [mem 0xfd0c0000-0xfd0c1fff] port 0x180 irq 45 
-[    4.576898rtc_zynqmp ffa60000.rtcsetting system clock to 2017-04-27 11:03:14 UTC (1493290994) +[    6.544870] OF: graph: no port node found in /axi/phy@fd400000 
-[    4.587830] ALSA device list: +[    6.561006] input: gpio-keys as /devices/platform/gpio-keys/input/input0 
-[    4.590713]   #0: DisplayPort monitor +[    6.568247of_cfs_init 
-[    4.595264] EXT4-fs (mmcblk0p2): couldn't mount as ext3 due to feature incompatibilities +[    6.570705] of_cfs_initOK 
-   5.026539] EXT4-fs (mmcblk0p2): mounted filesystem with ordered data mode. Opts: (null) +[    6.573691] cfg80211: Loading compiled-in X.509 certificates for regulatory database 
-   5.034560] VFS: Mounted root (ext4 filesystem) on device 179:2. +[    6.712063] cfg80211Loaded X.509 cert 'sforshee00b28ddf47aef9cea7' 
-   5.045332] devtmpfs: mounted +[    6.718590] clk: Not disabling unused clocks 
-   5.048329] Freeing unused kernel memory: 512K (ffffffc000cf0000 - ffffffc000d70000) +[    6.723122] ALSA device list: 
-Mount failed for selinuxfs on /sys/fs/selinux:  No such file or directory +[    6.726081]   No soundcards found. 
-   6.041604] systemd-udevd[2931]: could not open moddep file '/lib/modules/4.9.0-12434-g7f38ed6/modules.dep.bin' +[    6.729763] platform regulatory.0: Direct firmware load for regulatory.db failed with error -2 
-OK ]ting up X socket directories...         +[    6.738370] cfg80211: failed to load regulatory.db 
- * STARTDISTCC is set to false in /etc/default/distcc +[    6.855627] ata2: SATA link down (SStatus 0 SControl 330) 
- * /usr/bin/distccd not starting +[    6.861043] ata1: SATA link down (SStatus 0 SControl 330) 
-OK ]rting IIO Daemon iiod        +[    7.529447] zynqmp-display fd4a0000.display: [drm] Cannot find any crtc or sizes 
 +[   14.380304] EXT4-fs (mmcblk0p2): warning: mounting fs with errors, running e2fsck is recommended 
 +  14.492024] EXT4-fs (mmcblk0p2): recovery complete 
 +[   14.578133] EXT4-fs (mmcblk0p2): mounted filesystem with ordered data mode. Opts: (null) 
 +  14.586257] VFS: Mounted root (ext4 filesystem) on device 179:2. 
 +  14.599775] devtmpfs: mounted 
 +  14.603610] Freeing unused kernel memory: 2496K 
 +[   14.608263] Run /sbin/init as init process 
 +  15.227496] systemd[1]: systemd 247.3-7+rpi1 running in system mode(+PAM +AUDIT +SELINUX +IMA +APPARMOR +SMACK +SYSVINIT +UTMP +LIBCRYPTSETUP +GCRYPT +GNUTLS +ACL +XZ +LZ4 +ZSTD +SECCOMP +BLKID +ELFUTILS +KMOD +IDN2 -IDN +PCRE2 default-hierarchy=unified) 
 +  15.250752systemd[1]: Detected architecture arm64.
  
-Last login: Thu Apr 27 11:03:18 UTC 2017 on tty1 +Welcome to Kuiper GNU/Linux 11.2 (bullseye)!
-Welcome to Linaro 14.04 (GNU/Linux 4.9.0-12434-g7f38ed6 aarch64)+
  
- Documentation:  https:%%//%%wiki.analog.comhttps:%%//%%ez.analog.com/+[   15.282449] systemd[1]: Set hostname to <analog>
 +[   16.855957] systemd[1]: /lib/systemd/system/plymouth-start.service:16: Unit configured to use KillMode=none. This is unsafe, as it disables systemd's process lifecycle management for the service. Please update your service to use a safer KillMode=, such as 'mixed' or 'control-group'. Support for KillMode=none is deprecated and will eventually be removed. 
 +[   17.084624] systemd[1]: Queued start job for default target Graphical Interface. 
 +[   17.093226] random: systemd: uninitialized urandom read (16 bytes read) 
 +[   17.100036] systemd[1]: system-getty.slice: unit configures an IP firewall, but the local system does not support BPF/cgroup firewalling. 
 +[   17.112382] systemd[1]: (This warning is only shown for the first unit using IP firewalling.) 
 +[   17.121424] systemd[1]: Created slice system-getty.slice. 
 + OK  ] Created slice system-getty.slice. 
 +[   17.141524] random: systemd: uninitialized urandom read (16 bytes read) 
 +[   17.148512] systemd[1]: Created slice system-modprobe.slice. 
 +[  OK  ] Created slice system-modprobe.slice. 
 +[   17.169507] random: systemd: uninitialized urandom read (16 bytes read) 
 +[   17.176464] systemd[1]: Created slice system-serial\x2dgetty.slice. 
 +[  OK  ] Created slice system-serial\x2dgetty.slice. 
 +[   17.197816] systemd[1]: Created slice system-systemd\x2dfsck.slice. 
 +[  OK  ] Created slice system-systemd\x2dfsck.slice. 
 +[   17.221734] systemd[1]: Created slice User and Session Slice. 
 +[  OK  ] Created slice User and Session Slice. 
 +[   17.241765] systemd[1]: Started Forward Password Requests to Wall Directory Watch. 
 +[  OK  ] Started Forward Password R…uests to Wall Directory Watch. 
 +[   17.265740] systemd[1]: Condition check resulted in Arbitrary Executable File Formats File System Automount Point being skipped. 
 +[   17.278165] systemd[1]: Reached target Slices. 
 +[  OK  ] Reached target Slices. 
 +[   17.293632] systemd[1]: Reached target Swap. 
 +[  OK  ] Reached target Swap. 
 +[   17.310254] systemd[1]: Listening on Syslog Socket. 
 +[  OK  ] Listening on Syslog Socket. 
 +[   17.325846] systemd[1]: Listening on fsck to fsckd communication Socket. 
 +[  OK  ] Listening on fsck to fsckd communication Socket. 
 +[   17.349668] systemd[1]: Listening on initctl Compatibility Named Pipe. 
 +[  OK  ] Listening on initctl Compatibility Named Pipe. 
 +[   17.376773] systemd[1]: Listening on Journal Audit Socket. 
 +[  OK  ] Listening on Journal Audit Socket. 
 +[   17.397860] systemd[1]: Listening on Journal Socket (/dev/log). 
 +[  OK  ] Listening on Journal Socket (/dev/log). 
 +[   17.421888] systemd[1]: Listening on Journal Socket. 
 +[  OK  ] Listening on Journal Socket. 
 +[   17.445475] systemd[1]: Listening on udev Control Socket. 
 +[  OK  ] Listening on udev Control Socket. 
 +[   17.465827] systemd[1]: Listening on udev Kernel Socket. 
 +[  OK  ] Listening on udev Kernel Socket. 
 +[   17.487436] systemd[1]: Mounting Huge Pages File System... 
 +         Mounting Huge Pages File System... 
 +[   17.503356] systemd[1]: Mounting POSIX Message Queue File System... 
 +         Mounting POSIX Message Queue File System... 
 +[   17.527103] systemd[1]: Mounting RPC Pipe File System... 
 +         Mounting RPC Pipe File System... 
 +[   17.543385] systemd[1]: Mounting Kernel Debug File System... 
 +         Mounting Kernel Debug File System... 
 +[   17.561978] systemd[1]: Condition check resulted in Kernel Trace File System being skipped. 
 +[   17.570631] systemd[1]: Condition check resulted in Kernel Module supporting RPCSEC_GSS being skipped. 
 +[   17.583314] systemd[1]: Starting Restore / save the current clock... 
 +         Starting Restore / save the current clock... 
 +[   17.609712] systemd[1]: Starting Set the console keyboard layout... 
 +         Starting Set the console keyboard layout... 
 +[   17.636616] systemd[1]: Condition check resulted in Create list of static device nodes for the current kernel being skipped. 
 +[   17.650210] systemd[1]: Starting Load Kernel Module configfs... 
 +         Starting Load Kernel Module configfs... 
 +[   17.667701] systemd[1]: Starting Load Kernel Module drm... 
 +         Starting Load Kernel Module drm... 
 +[   17.687697] systemd[1]: Starting Load Kernel Module fuse... 
 +         Starting Load Kernel Module fuse... 
 +[   17.708717] systemd[1]: Condition check resulted in Set Up Additional Binary Formats being skipped. 
 +[   17.717983] systemd[1]: Condition check resulted in File System Check on Root Device being skipped. 
 +[   17.729053] systemd[1]: Starting Journal Service... 
 +         Starting Journal Service... 
 +[   17.750063] systemd[1]: Starting Load Kernel Modules... 
 +         Starting Load Kernel Modules... 
 +[   17.767598] systemd[1]: Starting Remount Root and Kernel File Systems... 
 +         Starting Remount Root and Kernel File Systems... 
 +[   17.791561] systemd[1]: Starting Coldplug All udev Devices... 
 +         Starting Coldplug All udev Devices... 
 +[   17.814846] systemd[1]: Mounted Huge Pages File System. 
 +[  OK  ] Mounted Huge Pages File System. 
 +[   17.843501] systemd[1]: Mounted POSIX Message Queue File System. 
 +[  OK  ] Mounted POSIX Message Queue File System. 
 +[   17.866054] systemd[1]: Mounted RPC Pipe File System. 
 +[  OK  ] Mounted RPC Pipe File System. 
 +[   17.882062] systemd[1]: Mounted Kernel Debug File System. 
 +[  OK  ] Mounted Kernel Debug File System. 
 +[   17.906458] systemd[1]: Finished Restore / save the current clock. 
 +[  OK  ] Finished Restore / save the current clock. 
 +[   17.930679] systemd[1]: Finished Set the console keyboard layout. 
 +[  OK  ] Finished Set the console keyboard layout. 
 +[   17.953966] systemd[1]: Started Journal Service. 
 +[  OK  ] Started Journal Service. 
 +[  OK  ] Finished Load Kernel Module configfs. 
 +[  OK  ] Finished Load Kernel Module drm. 
 +[   17.997740] random: crng init done 
 +[   18.001139] random: 7 urandom warning(s) missed due to ratelimiting 
 +[  OK  ] Finished Load Kernel Module fuse. 
 +[FAILED] Failed to start Load Kernel Modules. 
 +See 'systemctl status systemd-modules-load.service' for details. 
 +         Mounting FUSE Control File System... 
 +         Mounting Kernel Configuration File System... 
 +         Starting Apply Kernel Variables... 
 +[  OK  ] Mounted FUSE Control File System. 
 +[  OK  ] Mounted Kernel Configuration File System. 
 +[  OK  ] Finished Apply Kernel Variables. 
 +[  OK  ] Finished Remount Root and Kernel File Systems. 
 +         Starting Flush Journal to Persistent Storage... 
 +         Starting Load/Save Random Seed... 
 +         Starting Create System Users... 
 +[  OK  ] Finished Coldplug All udev Devices. 
 +         Starting Helper to synchronize boot up for ifupdown... 
 +         Starting Wait for udev To …plete Device Initialization... 
 +[    ] (1 of 10) A start job is running fo…d/Save Random Seed (3s / 10min 1s) 
 +[   20.713866] EXT4-fs error (device mmcblk0p2)ext4_mb_generate_buddy:802: group 32, block bitmap and bg descriptor inconsistent: 8461 vs 10906 free clusters 
 +[   20.731848] EXT4-fs error (device mmcblk0p2): ext4_mb_generate_buddy:802: group 64, block bitmap and bg descriptor inconsistent: 23266 vs 23260 free clusters 
 +[   20.779729] EXT4-fs error (device mmcblk0p2): ext4_mb_generate_buddy:802: group 0, block bitmap and bg descriptor inconsistent: 18343 vs 18331 free clusters 
 +[   20.793915] EXT4-fs error (device mmcblk0p2): ext4_mb_generate_buddy:802: group 25, block [  OK  ] Finished Helper to synchronize boot up for ifupdown. 
 +[  OK  ] Finished Create System Users. 
 +         Starting Create Static Device Nodes in /dev... 
 +[   20.874353] EXT4-fs error (device mmcblk0p2)ext4_mb_generate_buddy:802: group 59, block bitmap and bg descriptor inconsistent: 2718 vs 2714 free clusters 
 +[   20.910902] EXT4-fs error (device mmcblk0p2): ext4_lookup:1708: inode #16388: comm systemd-journal: deleted inode referenced: 11882 
 +[  OK  ] Finished Load/Save Random Seed. 
 +[  OK  ] Finished Create Static Device Nodes in /dev. 
 +[  OK  ] Reached target Local File Systems (Pre). 
 +         Starting Rule-based Manage…for Device Events and Files... 
 +[     *] (3 of 8) A start job is running for…rtuuid/f7eeebee-01 (9s / 1min 30s) 
 +[   27.126343] EXT4-fs error (device mmcblk0p2)ext4_lookup:1708: inode #16388: comm systemd[    **] (3 of 8) A start job is running for…tuuid/f7eeebee-01 (10s 1min 30s) 
 +[   27.448819] EXT4-fs error (device mmcblk0p2): ext4_lookup:1708: inode #16388: comm systemd[  OK  ] Finished Flush Journal to Persistent Storage. 
 +[  OK  ] Started Rule-based Manager for Device Events and Files. 
 +         Starting Show Plymouth Boot Screen... 
 +[  OK  ] Started Show Plymouth Boot Screen. 
 +[  OK  ] Started Forward Password R…s to Plymouth Directory Watch. 
 +[  OK  ] Reached target Local Encrypted Volumes. 
 +[  OK  ] Found device /dev/ttyPS0. 
 +[  OK  ] Reached target Hardware activated USB gadget. 
 +         Starting Load Kernel Modules... 
 +[FAILED] Failed to start Load Kernel Modules. 
 +See 'systemctl status systemd-modules-load.service' for details. 
 +[  OK  ] Found device /dev/ttyS0. 
 +[  OK  ] Found device /dev/disk/by-partuuid/f7eeebee-01. 
 +[  OK  ] Listening on Load/Save RF …itch Status /dev/rfkill Watch. 
 +         Starting File System Check…isk/by-partuuid/f7eeebee-01... 
 +[  OK  ] Finished Wait for udev To Complete Device Initialization. 
 +[  OK  ] Finished File System Check…/disk/by-partuuid/f7eeebee-01. 
 +         Mounting /boot... 
 +[  OK  ] Started File System Check Daemon to report status. 
 +[  OK  ] Mounted /boot. 
 +[  OK  ] Reached target Local File Systems. 
 +         Starting Set console font and keymap... 
 +         Starting Raise network interfaces... 
 +         Starting Preprocess NFS configuration... 
 +         Starting Tell Plymouth To Write Out Runtime Data... 
 +         Starting Create Volatile Files and Directories... 
 +[  OK  ] Finished Set console font and keymap. 
 +[  OK  ] Finished Preprocess NFS configuration. 
 +[  OK  ] Reached target NFS client services. 
 +[  OK  ] Reached target Remote File Systems (Pre). 
 +[  OK  ] Reached target Remote File Systems. 
 +[  OK  ] Finished Tell Plymouth To Write Out Runtime Data. 
 +[  OK  ] Finished Create Volatile Files and Directories. 
 +         Starting Update UTMP about System Boot/Shutdown... 
 +[  OK  ] Finished Update UTMP about System Boot/Shutdown. 
 +[  OK  ] Reached target System Initialization. 
 +[  OK  ] Started CUPS Scheduler. 
 +[  OK  ] Started Daily apt download activities. 
 +[  OK  ] Started Daily apt upgrade and clean activities. 
 +[  OK  ] Started Periodic ext4 Onli…ata Check for All Filesystems. 
 +[  OK  ] Started Discard unused blocks once a week. 
 +[  OK  ] Started Daily rotation of log files. 
 +[  OK  ] Started Daily man-db regeneration. 
 +[  OK  ] Started Daily Cleanup of Temporary Directories. 
 +[  OK  ] Reached target Paths. 
 +[  OK  ] Reached target Timers. 
 +[  OK  ] Listening on Avahi mDNS/DNS-SD Stack Activation Socket. 
 +[  OK  ] Listening on CUPS Scheduler. 
 +[  OK  ] Listening on D-Bus System Message Bus Socket. 
 +[  OK  ] Listening on Erlang Port Mapper Daemon Activation Socket. 
 +[  OK  ] Listening on GPS (Global P…ioning System) Daemon Sockets. 
 +[  OK  ] Listening on triggerhappy.socket. 
 +[  OK  ] Reached target Sockets. 
 +[  OK  ] Reached target Basic System. 
 +         Starting Avahi mDNS/DNS-SD Stack... 
 +[  OK  ] Started Regular background program processing daemon. 
 +[  OK  ] Started D-Bus System Message Bus. 
 +         Starting dphys-swapfile - …unt, and delete a swap file... 
 +         Starting Remove Stale Onli…t4 Metadata Check Snapshots... 
 +         Starting Creating IIOD Context Attributes...... 
 +         Starting Authorization Manager... 
 +         Starting DHCP Client Daemon... 
 +         Starting LSB: Switch to on…nless shift key is pressed)... 
 +         Starting LSB: rng-tools (Debian variant)... 
 +         Starting System Logging Service... 
 +         Starting User Login Management... 
 +         Starting triggerhappy global hotkey daemon... 
 +         Starting Disk Manager... 
 +         Starting WPA supplicant... 
 +         Starting Rotate log files... 
 +         Starting Daily man-db regeneration... 
 +[  OK  ] Finished Remove Stale Onli…ext4 Metadata Check Snapshots. 
 +[  OK  ] Started triggerhappy global hotkey daemon. 
 +[  OK  ] Started DHCP Client Daemon. 
 +[  OK  ] Finished Raise network interfaces. 
 +[  OK  ] Started LSB: rng-tools (Debian variant). 
 +[  OK  ] Started System Logging Service. 
 +[  OK  ] Finished dphys-swapfile - …mount, and delete a swap file. 
 +[   32.756194] EXT4-fs error (device mmcblk0p2): ext4_mb_generate_buddy:802: group 75, block bitmap and bg descriptor inconsistent: 32730 vs 32733 free clusters 
 +[FAILED] Failed to start Rotate log files. 
 +See 'systemctl status logrotate.service' for details. 
 +[  OK  ] Started LSB: Switch to ond…(unless shift key is pressed). 
 +         Starting Online ext4 Metad…a Check for All Filesystems... 
 +[  OK  ] Finished Online ext4 Metadata Check for All Filesystems. 
 +[  OK  ] Finished Creating IIOD Context Attributes.... 
 +[  OK  ] Started User Login Management. 
 +[  OK  ] Started Avahi mDNS/DNS-SD Stack. 
 +[  OK  ] Started WPA supplicant. 
 +[  OK  ] Reached target Network. 
 +[  OK  ] Reached target Network is Online. 
 +         Starting CUPS Scheduler... 
 +[  OK  ] Started Erlang Port Mapper Daemon. 
 +         Starting Load USB gadget scheme... 
 +         Starting HTTP based time synchronization tool... 
 +[  OK  ] Started IIO Daemon. 
 +         Starting Internet superserver... 
 +         Starting /etc/rc.local Compatibility... 
 +         Starting OpenBSD Secure Shell server... 
 +         Starting Permit User Sessions... 
 +[  OK  ] Started Unattended Upgrades Shutdown. 
 +[  OK  ] Started /etc/rc.local Compatibility. 
 +[  OK  ] Finished Permit User Sessions. 
 +         Starting Light Display Manager... 
 +         Starting Hold until boot process finishes up... 
 +[  OK  ] Started HTTP based time synchronization tool. 
 +[  OK  ] Started Authorization Manager. 
 +         Starting Modem Manager... 
 +[  OK  ] Started Internet superserver. 
 +[  OK  ] Finished Load USB gadget scheme. 
 +         Mounting Mount FunctionFS instance... 
 +[  OK  ] Found device /dev/ttyGS0. 
 +[  OK  ] Mounted Mount FunctionFS instance. 
 +         Starting IIO Daemon with USB FFS support... 
 +         Stopping IIO Daemon... 
 +[  OK  ] Stopped IIO Daemon. 
 +[  OK  ] Started IIO Daemon with USB FFS support. 
 +         Starting Start USB gadget scheme... 
 +[  OK  ] Started OpenBSD Secure Shell server.
  
-New release '16.04.3 LTS' available. +Raspbian GNU/Linux 11 analog ttyPS0
-Run 'do-release-upgrade' to upgrade to it.+
  
-root@analog:~#  +analog loginroot (automatic login) 
-</xterm></WRAP>+</code></WRAP>
  
 <WRAP box bggreen><wrap info>This specifies any shell prompt running on the target</wrap> <WRAP box bggreen><wrap info>This specifies any shell prompt running on the target</wrap>
 <xterm> <xterm>
 root@analog:~# iio_info | grep iio:device root@analog:~# iio_info | grep iio:device
-        iio:device0: ad7291+        iio:device0: ams
         iio:device1: ad9361-phy         iio:device1: ad9361-phy
-        iio:device2: cf-ad9361-dds-core-lpc +        iio:device2: ad7291 
-        iio:device3: cf-ad9361-lpc+        iio:device3: cf-ad9361-lpc (buffer capable) 
 +        iio:device4: cf-ad9361-dds-core-lpc (buffer capable)
 </xterm></WRAP> </xterm></WRAP>
  
 <WRAP box bggreen><wrap info>This specifies any shell prompt running on the target</wrap> <WRAP box bggreen><wrap info>This specifies any shell prompt running on the target</wrap>
 <xterm> <xterm>
-root@analog:~# fru-dump -b /sys/bus/i2c/devices/14-0050/eeprom                                                                                                                                              +root@analog:~# fru-dump -b /sys/bus/i2c/devices/14-0050/eeprom
 read 256 bytes from /sys/bus/i2c/devices/14-0050/eeprom read 256 bytes from /sys/bus/i2c/devices/14-0050/eeprom
-Date of Man     : Wed Aug 27 16:42:00 2014 +Date of Man     : Wed Jun 18 12:51:00 2014 
-Manufacture     : Analog Devices +Manufacturer    : Analog Devices 
-Product Name    : AD9361 Software Development Kit +Product Name    : AD9361 RF Hardware Development Kit 
-Serial Number   : Non-ASCII +Serial Number   : 00051 
-Part Number     : AD-FMCOMMS3-EBZ+Part Number     : AD-FMCOMMS2-EBZ
 FRU File ID     : Empty Field FRU File ID     : Empty Field
-PCB Rev         : A +PCB Rev         : C 
-PCB ID          : FMCOMMSFMC03A+PCB ID          : 9361FMC01A
 BOM Rev         : 1 BOM Rev         : 1
 Uses LVDS       : Y Uses LVDS       : Y
resources/eval/user-guides/ad-fmcomms2-ebz/quickstart/zynqmp.txt · Last modified: 30 Jan 2023 01:12 by Joyce Velasco