Wiki

no way to compare when less than two revisions

Differences

This shows you the differences between two versions of the page.


Previous revision
Last revision
resources:tools-software:linux-software:embedded_arm_images [15 Jul 2022 14:41] – [Preparing the image: FPGA Carrier] Stefan-Robert Raus
Line 1: Line 1:
 +====== Analog Devices Kuiper Linux ====== 
  
 +Analog Devices Kuiper Linux is a distribution based on Raspbian for the Raspberry Pi. It incorporates Linux device drivers for ADI products, and is created with ease of use in mind.
 +The reasoning behind creating this distribution is to minimize the barriers to integrating ADI hardware devices into a Linux-based system. When starting with a generic Linux distribution, the kernel typically would have to be rebuilt with the desired drivers enabled. While this is not difficult for an engineer that is familiar with the process, it can be a daunting task even when everything goes right.\\
 +ADI Kuiper Linux solves this problem, and includes a host of additional applications, software libraries, and utilities including:
 +  * IIO Oscilloscope (basic GUI for debugging IIO devices)
 +  * IIOD (exposes IIO devices over a network connection to a remote host)
 +  * libiio (library for applications running locally)
 +  * pyadi-iio (Python abstraction layer for iio devices)
 +  * libm2k (software API for the ADALM2000 multifunction USB instrument)
 +  * GNURadio (including GR-IIO blocks, ADALM2000, ADALM-Pluto blocks) 
 +
 +While Rasbpian targets Raspberry Pi platform boards, ADI Kuiper Linux supports several other platforms including the Xilinx and Intel FPGAs.
 +
 +The [[mouser>584-AD-FMC-SDCARD|AD-FMC-SDCARD]] or [[digikey>AD-FMC-SDCARD-ND|AD-FMC-SDCARD]] is an [[wp>SD_card|microSD Card]] and SD Card adapter (to use the Micro SD Card in an SD Card Slot) comes pre-formatted with an ADI Kuiper Linux image on it. This will usually ship with many evaluation boards.
 +
 +<WRAP tip>If you have a preformatted SD Card (one that normally comes with one of the ADI FMC Cards), you can skip down to the [[#preparing_the_image|Preparing the image]] section. You still will need to do some manual configuration, since the SD Card supports different base platforms, and different FMC Cards.
 +
 +You will also need to [[#staying_up_to_date|update the image]], since the pre-formatted image will be 6 or more months old, and issues have been fixed since then.
 +</WRAP>
 +
 +===== Requirements =====
 +
 +  * You need a Host PC (Windows or Linux).
 +  * You need a SD card writer connected to above PC (Supported USB SD readers/writers are OK).
 +  * USB keyboard/mouse for the Zynq Device
 +  * HDMI Display (monitor or TV)
 +
 +===== Download Linux Image =====
 +
 +The **BOLD** is what you should type. It's not too much more than [[wp>Special Agent Oso]]'s three special steps, and it also allows you to go for that specialty coffee you have been craving.
 +
 +For different platforms you'll need different images. Currently we provide a single pre-build images, that can work on all the platforms we support.
 +
 +<note important>Make sure you unzip the image using either [[https://www.7-zip.org/|7-zip]] or on Linux it can be done via command-line **xz -d <image_name>.img.xz**. The actual file that needs to be dumped to the SD card has to have the ***.img** extension.</note>
 +
 +<note important>Your SD-card needs to be at least 16 GB for releases 2019-R2 and newer. Or 8 GB for older releases.</note>
 +
 +<note warning>If your computer has security restrictions imposed by your company's IT department, which prevent your from writing data to SD-cards (or the data is encrypted when written on the SD-card), then consider using a computer that doesn't have such restrictions, or communicating with your IT department to find a solution.</note>
 +
 +<WRAP hi round download 80%>
 +  * [[:resources:tools-software:linux-software:adi-kuiper_images:release_notes|Download Release Images]]
 +</WRAP>
 +
 +Now, depending if you are using Linux or Windows, follow these instructions to write the file to your SD card.
 +    * [[./zynq_images/Linux Hosts]]
 +    * [[./zynq_images/Windows Hosts]]
 +
 +
 +
 +
 +===== Preparing the image: FPGA Carrier =====
 +
 +<note warning>If your computer has security restrictions imposed by your company's IT department, which prevent your from writing data to SD-cards (or the data is encrypted when written on the SD-card), then consider using a computer that doesn't have such restrictions, or communicating with your IT department to find a solution.</note>
 +
 +The SD card includes a few images on it's ''BOOT'' partition. One of these images needs to be selected before the system will boot properly. In order to run any of these images, just copy the images from the subdirectory into the base directory, and then boot it. For newer versions of the SD card, uImage (Image for ZynqMP) files are in subdirectories for FPGA board generation. Be sure to move the correct uImage (Image for ZynqMP) into the root of the ''BOOT'' partition as well. So the root of 'BOOT' should contain:
 +  * An uImage file or Image file for ZynqMP
 +  * A BOOT.BIN specific to your board+FPGA
 +  * A devicetree.dtb or system.dtb for ZynqMP specific to your board+FPGA
 +
 +If you notice that the file/board you want isn't in your actual SD-Card, that's because you need to upgrade it first. Just pick something with the same base board, boot it, and then run the [[#staying_up_to_date|update scripts]], and then copy the right files to the ''BOOT'' partition.
 +
 +Supported images include:
 +
 +^ Directory on the SD image ^ Carrier ^ On Carrier Devices ^ Add on card ^ doc ^
 +| socfpga_arria10_socdk_ad9081 | [[https://www.intel.com/content/www/us/en/programmable/products/boards_and_kits/dev-kits/altera/arria-10-soc-development-kit.html|DK-SOC-10AS066S-A]]|  |[[adi>EVAL-ad9081]] | |
 +| socfpga_arria10_socdk_adrv9002 | [[https://www.intel.com/content/www/us/en/programmable/products/boards_and_kits/dev-kits/altera/arria-10-soc-development-kit.html|DK-SOC-10AS066S-A]]|  |[[adi>EVAL-adrv9002]] | |
 +| socfpga_arria10_socdk_adrv9009 | [[https://www.intel.com/content/www/us/en/programmable/products/boards_and_kits/dev-kits/altera/arria-10-soc-development-kit.html|DK-SOC-10AS066S-A]]|  |[[adi>EVAL-ADRV9008-9009]] |[[/resources/eval/user-guides/adrv9009|doc]] |
 +| socfpga_arria10_socdk_adrv9371 | [[https://www.intel.com/content/www/us/en/programmable/products/boards_and_kits/dev-kits/altera/arria-10-soc-development-kit.html|DK-SOC-10AS066S-A]]|  |[[adi>EVAL-ADRV9371]] |[[/resources/eval/user-guides/mykonos|doc]] |
 +| socfpga_arria10_socdk_cn0506_mii| [[https://www.intel.com/content/www/us/en/programmable/products/boards_and_kits/dev-kits/altera/arria-10-soc-development-kit.html|DK-SOC-10AS066S-A]]|  |[[resources/eval/user-guides/circuits-from-the-lab/cn0506/hdl|CN0506]]| |
 +| socfpga_arria10_socdk_daq2 | [[https://www.intel.com/content/www/us/en/programmable/products/boards_and_kits/dev-kits/altera/arria-10-soc-development-kit.html|DK-SOC-10AS066S-A]]|  |[[adi>AD-FMCDAQ2-EBZ]] |[[/resources/eval/user-guides/ad-fmcdaq2-ebz|doc]] |
 +| socfpga_arria10_socdk_fmclidar1 | [[https://www.intel.com/content/www/us/en/programmable/products/boards_and_kits/dev-kits/altera/arria-10-soc-development-kit.html|DK-SOC-10AS066S-A]]|  |[[adi>AD-FMCLIDAR1-EBZ]] |[[/resources/eval/user-guides/ad-fmclidar1-ebz|doc]] |
 +| socfpga_arria10_socdk_fmcomms8| [[https://www.intel.com/content/www/us/en/programmable/products/boards_and_kits/dev-kits/altera/arria-10-soc-development-kit.html|DK-SOC-10AS066S-A]]|  |[[adi>EVAL-AD-FMCOMMS8-EBZ]] | |
 +| socfpga_cyclone5_de10_nano_cn0540 | Intel Cyclone 5 De10 Nano Kit |  |[[adi>design-center/reference-designs/circuits-from-the-lab/cn0540.html|CN0540 board]] | |
 +| socfpga_cyclone5_sockit_arradio | Intel Cyclone 5 SoC Kit |  | [[https://www.arrow.com/en/products/arradio/terasic-technologies|Arradio board]] | |
 +| zynq-adrv9361-z7035-bob | [[adi>ADRV1CRR-BOB]]|  |[[adi>ADRV9361]] |[[/resources/eval/user-guides/adrv9361-z7035|doc]] |
 +| zynq-adrv9361-z7035-bob-cmos | [[adi>ADRV1CRR-BOB]]|  |[[adi>ADRV9361]] |[[/resources/eval/user-guides/adrv9361-z7035|doc]] |
 +| zynq-adrv9361-z7035-fmc | [[adi>ADRV1CRR-FMC]]| [[adi>ADV7511]] | |[[/resources/eval/user-guides/adrv9361-z7035|doc]] |
 +| zynq-adrv9361-z7035-packrf | [[adi>ADRV-PACKRF]]| [[adi>ADRV9361]] | |[[/resources/eval/user-guides/adrv9361-z7035|doc]] |
 +| zynq-adrv9364-z7020-bob | [[adi>ADRV1CRR-BOB]]|  |[[adi>ADRV9364]] |[[/resources/eval/user-guides/adrv9361-z7035|doc]] |
 +| zynq-adrv9364-z7020-bob-cmos | [[adi>ADRV1CRR-BOB]]|  |[[adi>ADRV9364]] |[[/resources/eval/user-guides/adrv9361-z7035|doc]] |
 +| zynq-adrv9364-z7020-packrf | [[adi>CN0412|PackRF]]|  |[[adi>ADRV9364]] |[[/resources/eval/user-guides/adrv9361-z7035|doc]] |
 +| zynqmp-adrv9009-zu11eg-revb-adrv2crr-fmc-revb | [[adi>ADRV2CRR-FMC]]|  |[[adi>ADRV9009-ZU11EG]] |[[/resources/eval/user-guides/adrv9009-zu11eg|doc]] |
 +| zynqmp-adrv9009-zu11eg-revb-adrv2crr-fmc-fmcomms8 | [[adi>ADRV2CRR-FMC]]|  | [[adi>EVAL-AD-FMCOMMS8-EBZ]] |[[/resources/eval/user-guides/adrv9009-zu11eg|doc]] |
 +| zynqmp-zcu102-rev10-ad9172-fmc-ebz-mode4 | [[xilinx>ZCU102]]|  |[[adi>EVAL-AD9172]] | |
 +| zynqmp-zcu102-rev10-ad9361-fmcomms2-3 | [[xilinx>ZCU102]]|  |[[adi>AD-FMCOMMS2-EBZ]] or [[adi>AD-FMCOMMS3-EBZ]] |[[/resources/eval/user-guides/ad-fmcomms2-ebz|doc]] or [[/resources/eval/user-guides/ad-fmcomms3-ebz|doc]] |
 +| zynqmp-zcu102-rev10-ad9361-fmcomms5 | [[xilinx>ZCU102]]|  |[[adi>AD-FMCOMMS5-EBZ]] |[[/resources/eval/user-guides/ad-fmcomms5-ebz|doc]] |
 +| zynqmp-zcu102-rev10-ad9364-fmcomms4 | [[xilinx>ZCU102]]|  |[[adi>AD-FMCOMMS4-EBZ]] |[[/resources/eval/user-guides/ad-fmcomms4-ebz|doc]] |
 +| zynqmp-zcu102-rev10-adrv9002 | [[xilinx>ZCU102]]|  | [[adi>eval-adrv9002|ADRV9002NP/W1/PCBZ]] [[adi>eval-adrv9002|ADRV9002NP/W2/PCBZ]] |[[/resources/eval/user-guides/adrv9001|doc]] |
 +| zynqmp-zcu102-rev10-adrv9008-1 | [[xilinx>ZCU102]]|  |[[adi>EVAL-ADRV9008-9009]] |[[/resources/eval/user-guides/adrv9009|doc]] |
 +| zynqmp-zcu102-rev10-adrv9008-2 | [[xilinx>ZCU102]]|  |[[adi>EVAL-ADRV9008-9009]] |[[/resources/eval/user-guides/adrv9009|doc]] |
 +| zynqmp-zcu102-rev10-adrv9009 | [[xilinx>ZCU102]]|  |[[adi>EVAL-ADRV9008-9009]] |[[/resources/eval/user-guides/adrv9009|doc]] |
 +| zynqmp-zcu102-rev10-adrv9371 | [[xilinx>ZCU102]]|  |[[adi>EVAL-ADRV9371|ADRV9371]] |[[/resources/eval/user-guides/mykonos|doc]] |
 +| zynqmp-zcu102-rev10-adrv9375 | [[xilinx>ZCU102]]|  |[[adi>ADRV9375]] |[[/resources/eval/user-guides/mykonos|doc]] |
 +| zynqmp-zcu102-rev10-fmcdaq2 | [[xilinx>ZCU102]]|  |[[adi>AD-FMCDAQ2-EBZ]] |[[/resources/eval/user-guides/ad-fmcdaq2-ebz|doc]] |
 +| zynqmp-zcu102-rev10-fmcdaq3 | [[xilinx>ZCU102]]|  |[[adi>eval-fmcdaq3-ebz]] |[[/resources/eval/user-guides/ad-fmcdaq3-ebz|doc]] |
 +| zynqmp-zcu102-rev10-fmclidar1 | [[xilinx>ZCU102]]|  |[[adi>AD-FMCLIDAR1-EBZ]] |[[/resources/eval/user-guides/ad-fmclidar1-ebz|doc]] |
 +| zynq-zc702-adv7511 | [[xilinx>ZC702]]| [[adi>ADV7511]] | | |
 +| zynq-zc702-adv7511-ad9361-fmcomms2-3 | [[xilinx>ZC702]]| [[adi>ADV7511]] |[[adi>AD-FMCOMMS2-EBZ]] or [[adi>AD-FMCOMMS3-EBZ]] |[[/resources/eval/user-guides/ad-fmcomms2-ebz|doc]] or [[/resources/eval/user-guides/ad-fmcomms3-ebz|doc]] |
 +| zynq-zc702-adv7511-ad9361-fmcomms5 | [[xilinx>ZC702]]| [[adi>ADV7511]] |[[adi>AD-FMCOMMS5-EBZ]] |[[/resources/eval/user-guides/ad-fmcomms5-ebz|doc]] |
 +| zynq-zc702-adv7511-ad9364-fmcomms4 | [[xilinx>ZC702]]| [[adi>ADV7511]] |[[adi>AD-FMCOMMS4-EBZ]] |[[/resources/eval/user-guides/ad-fmcomms4-ebz|doc]] |
 +| zynq-zc706-adv7511 | [[xilinx>ZC706]]| [[adi>ADV7511]] | | |
 +| zynq-zc706-adv7511-ad6676-fmc | [[xilinx>ZC706]]| [[adi>ADV7511]] |[[adi>EVAL-AD6676]] | |
 +| zynq-zc706-adv7511-ad9172-fmc-ebz | [[xilinx>ZC706]]| [[adi>ADV7511]] | | |
 +| zynq-zc706-adv7511-ad9265-fmc-125ebz | [[xilinx>ZC706]]| [[adi>ADV7511]] |[[adi>AD9265-FMC-125EBZ]] | |
 +| zynq-zc706-adv7511-ad9361-fmcomms2-3 | [[xilinx>ZC706]]| [[adi>ADV7511]] |[[adi>AD-FMCOMMS2-EBZ]] or [[adi>AD-FMCOMMS3-EBZ]] |[[/resources/eval/user-guides/ad-fmcomms2-ebz|doc]] or [[/resources/eval/user-guides/ad-fmcomms3-ebz|doc]] |
 +| zynq-zc706-adv7511-ad9361-fmcomms5 | [[xilinx>ZC706]]| [[adi>ADV7511]] |[[adi>AD-FMCOMMS5-EBZ]] |[[/resources/eval/user-guides/ad-fmcomms5-ebz|doc]] |
 +| zynq-zc706-adv7511-ad9361-fmcomms5-ext-lo-adf5355 | [[xilinx>ZC706]]| [[adi>ADV7511]] |[[adi>AD-FMCOMMS5-EBZ]] external LO |[[/resources/eval/user-guides/ad-fmcomms5-ebz|doc]] |
 +| zynq-zc706-adv7511-ad9364-fmcomms4 | [[xilinx>ZC706]]| [[adi>ADV7511]] |[[adi>AD-FMCOMMS4-EBZ]] |[[/resources/eval/user-guides/ad-fmcomms4-ebz|doc]] |
 +| zynq-zc706-adv7511-ad9434-fmc-500ebz | [[xilinx>ZC706]]| [[adi>ADV7511]] |[[adi>AD9434-FMC-500EBZ]] |[[/resources/eval/user-guides/ad-fmcadc2-ebz|doc]] |
 +| zynq-zc706-adv7511-ad9625-fmcadc2 | [[xilinx>ZC706]]| [[adi>ADV7511]] |[[adi>AD-FMCADC2-EBZ]] |[[/resources/eval/user-guides/ad-fmcadc3-ebz|doc]] |
 +| zynq-zc706-adv7511-ad9625-fmcadc3 | [[xilinx>ZC706]]| [[adi>ADV7511]] |[[adi>eval-ad-fmcadc3-ebz|AD-FMCADC3-EBZ]] | |
 +| zynq-zc706-adv7511-ad9739a-fmc | [[xilinx>ZC706]]| [[adi>ADV7511]] |[[adi>EVAL-AD9739A]] |[[/resources/eval/user-guides/adrv9009|doc]] |
 +| zynq-zc706-adv7511-adrv9008-1 | [[xilinx>ZC706]]| [[adi>ADV7511]] |[[adi>EVAL-ADRV9008-9009]] |[[/resources/eval/user-guides/adrv9009|doc]] |
 +| zynq-zc706-adv7511-adrv9008-2 | [[xilinx>ZC706]]| [[adi>ADV7511]] |[[adi>EVAL-ADRV9008-9009]] |[[/resources/eval/user-guides/adrv9009|doc]] |
 +| zynq-zc706-adv7511-adrv9009 | [[xilinx>ZC706]]| [[adi>ADV7511]] |[[adi>EVAL-ADRV9008-9009]] |[[/resources/eval/user-guides/adrv9009|doc]] |
 +| zynq-zc706-adv7511-adrv9371 | [[xilinx>ZC706]]| [[adi>ADV7511]] |[[adi>EVAL-ADRV9371|ADRV9371]] |[[/resources/eval/user-guides/mykonos|doc]] |
 +| zynq-zc706-adv7511-adrv9375 | [[xilinx>ZC706]]| [[adi>ADV7511]] |[[adi>ADRV9375]] |[[/resources/eval/user-guides/mykonos|doc]] |
 +| zynq-zc706-adv7511-fmcdaq2 | [[xilinx>ZC706]]| [[adi>ADV7511]] |[[adi>AD-FMCDAQ2-EBZ]] |[[/resources/eval/user-guides/ad-fmcadc2-ebz|doc]] |
 +| zynq-zc706-adv7511-fmcdaq3-revC | [[xilinx>ZC706]]| [[adi>ADV7511]] |[[adi>eval-fmcdaq3-ebz]] |[[/resources/eval/user-guides/ad-fmcadc3-ebz|doc]] |
 +| zynq-zc706-adv7511-fmcjesdadc1 | [[xilinx>ZC706]]| [[adi>ADV7511]] |[[adi>AD-FMCJESDADC1-EBZ]] | |
 +| zynq-zc706-adv7511-fmclidar1 | [[xilinx>ZC706]]| [[adi>ADV7511]] |[[adi>AD-FMCLIDAR1-EBZ]] |[[/resources/eval/user-guides/ad-fmclidar1-ebz|doc]] |
 +| zynq-zc706-adv7511-fmcomms11 | [[xilinx>ZC706]]| [[adi>ADV7511]] |[[adi>AD-FMCOMMS11-EBZ]] |[[/resources/eval/user-guides/ad-fmcomms11-ebz|doc]] |
 +| zynq-zed-adv7511 | [[http://zedboard.org/product/zedboard|Zed Board]]| [[adi>ADV7511]] | | |
 +| zynq-zed-adv7511-ad9361-fmcomms2-3 | [[http://zedboard.org/product/zedboard|Zed Board]]| [[adi>ADV7511]] |[[adi>AD-FMCOMMS2-EBZ]] or [[adi>AD-FMCOMMS3-EBZ]] |[[/resources/eval/user-guides/ad-fmcomms2-ebz|doc]] or [[/resources/eval/user-guides/ad-fmcomms3-ebz|doc]] |
 +| zynq-zed-adv7511-ad9364-fmcomms4 | [[http://zedboard.org/product/zedboard|Zed Board]]| [[adi>ADV7511]] |[[adi>AD-FMCOMMS4-EBZ]] |[[/resources/eval/user-guides/ad-fmcomms4-ebz|doc]] |
 +| zynq-zed-adv7511-ad9467-fmc-250ebz | [[http://zedboard.org/product/zedboard|Zed Board]]| [[adi>ADV7511]] |[[adi>AD9467-FMC-250EBZ]] | |
 +| zynq-zed-adv7511-cn0363 | [[http://zedboard.org/product/zedboard|Zed Board]]| [[adi>ADV7511]] |[[adi>EVAL-CN0363-PMDZ]] | |
 +| zynq-zed-ad40xx_fmc | [[http://zedboard.org/product/zedboard|Zed Board]] | | [[adi>EVAL-AD400x-FMCZ]] | |
 +| zynq-zed-ad4630 | [[http://zedboard.org/product/zedboard|Zed Board]] | | [[adi>EVAL-AD4630-16]] | |
 +| zynq-zed-ad5758_sdz | [[http://zedboard.org/product/zedboard|Zed Board]] | | [[adi>EVAL-AD5758]] | |
 +| zynq-zed-ad5766_sdz | [[http://zedboard.org/product/zedboard|Zed Board]] | | [[adi>EVAL-AD5766]] | |
 +| zynq-zed-ad7134_fmc | [[http://zedboard.org/product/zedboard|Zed Board]] | | [[adi>EVAL-AD7134FMCZ]] | |
 +| zynq-zed-ad738x_fmc | [[http://zedboard.org/product/zedboard|Zed Board]] | | [[adi>EVAL-AD738xFMCZ]] | |
 +| zynq-zed-ad7405_fmc | [[http://zedboard.org/product/zedboard|Zed Board]] | | [[adi>eval-ad7405]] | |
 +| zynq-zed-ad7616_sdz | [[http://zedboard.org/product/zedboard|Zed Board]] | | [[adi>EVAL-AD7616]] | |
 +| zynq-zed-ad77681evb | [[http://zedboard.org/product/zedboard|Zed Board]] | | | |
 +| zynq-zed-ad7768evb | [[http://zedboard.org/product/zedboard|Zed Board]] | | [[adi>EVAL-CN0363-PMDZ]] | |
 +| zynq-zed-ad9467_fmc | [[http://zedboard.org/product/zedboard|Zed Board]] | | [[adi>EVAL-AD9467]] | |
 +| zynq-zed-adaq7980_sdz | [[http://zedboard.org/product/zedboard|Zed Board]] | | [[adi>EVAL-ADAQ7980]] | |
 +| zynq-zed-adrv9002 | [[http://zedboard.org/product/zedboard|Zed Board]] | | [[adi>eval-adrv9002]] | |
 +| zynq-zed-adrv9002_rx2tx2 | [[http://zedboard.org/product/zedboard|Zed Board]] | | [[adi>eval-adrv9002]] | |
 +| zynq-zed-cn0363 | [[http://zedboard.org/product/zedboard|Zed Board]] | | [[adi>CN0363]] | |
 +| zynq-zed-cn0506_mii | [[http://zedboard.org/product/zedboard|Zed Board]] | |[[resources/eval/user-guides/circuits-from-the-lab/cn0506/hdl|CN0506]]| |
 +| zynq-zed-cn0506_rgmii | [[http://zedboard.org/product/zedboard|Zed Board]] | |[[resources/eval/user-guides/circuits-from-the-lab/cn0506/hdl|CN0506]]| |
 +| zynq-zed-cn0506_rmii| [[http://zedboard.org/product/zedboard|Zed Board]] | |[[resources/eval/user-guides/circuits-from-the-lab/cn0506/hdl|CN0506]]| |
 +| zynq-zed-imageon | [[http://zedboard.org/product/zedboard|Zed Board]]| [[adi>ADV7511]] |FMC-IMAGEON | |
 +| zynq-coraz7s-cn0540 | [[https://digilent.com/reference/programmable-logic/cora-z7/start|Cora Z7 Board]]| [[adi>design-center/reference-designs/circuits-from-the-lab/cn0540.html|CN0540 board]] | |
 +| zynq-coraz7s-cn0501 | [[https://digilent.com/reference/programmable-logic/cora-z7/start|Cora Z7 Board]]| | |
 +| versal-vck190-ad9081_fmca_ebz | [[xilinx>products/boards-and-kits/vck190.html |VCK190 Board]]| EVAL-AD9081 | |
 +
 +<WRAP tip>We have heard of some versions of Windows, and some specific SD readers/writers that don't like the FAT parition that we make on the SD Cards - sorry - we have no idea, and have no way to replicate things (that we have found). If it doesn't work for you - try a different windows machine. </WRAP>
 +
 +===== Boot =====
 +
 +  - plug the HDMI monitor in, the USB keyboard/mouse, and host console UART (these are done on the FPGA platform, not on the ADI card).
 +  - make sure all the jumpers are set properly (depends on the board, need to set up to boot from SD card).
 +  - plug in the FMC board to the FMC connector (or Pmod into the Pmod connector)
 +  - connect to the console UART 
 +  - turn on the board
 +
 +<note important>For Intel SoC the preloader and bootloader (1M) partition must be updated, see more info on [[resources:tools-software:linux-software:altera_soc_images|Altera SOC Quick Start Guide]]</note>
 +
 +<WRAP tip>the U-Boot terminals below are for, well - U-Boot. If you see a kernel booting, you aren't running in U-Boot. This means reset the board, and when the system says "Hit any key to stop autoboot" - hit any key. </WRAP>
 +
 +First you have to use the default environment from the SD card (otherwise the system may not boot):
 +  * [[https://u-boot.readthedocs.io|U-Boot]] <xterm>**env default -a**
 +**saveenv**</xterm>
 +
 +Then you need to update the MAC address of the board. For some reason, Xilinx doesn't do this on their boards, and you will not get the proper MAC address. Depending on where to do it:
 +  * [[https://u-boot.readthedocs.io|U-Boot]] <xterm>**setenv ethaddr XX:XX:XX:XX:XX:XX** (whatever is on the sticker on the board
 +**saveenv** 
 +**reset**</xterm>
 +  * [[http://en.wikibooks.org/wiki/Changing_Your_MAC_Address/Linux|Linux kernel]] <xterm>**ifconfig eth2 down**
 +**ifconfig eth2 hw ether XX:XX:XX:XX:XX:XX** (what ever is on the sticker on the board)
 +**ifconfig eth2 up** </xterm>
 +
 +<WRAP info>
 +\\
 +These boot messages may change based on your specific platform.
 +\\
 +</WRAP>
 +<hidden **Complete Boot Log** (Click to expand)>
 +<code>
 +rgetz@brain:~/newest$ kermit -l /dev/ttyACM0 -b 115200  -c
 +Connecting to /dev/ttyACM0, speed 115200
 + Escape character: Ctrl-\ (ASCII 28, FS): enabled
 +Type the escape character followed by C to get back,
 +or followed by ? to see other options.
 +----------------------------------------------------
 +
 +U-Boot SPL 2021.07-16360-gee63370553-dirty (Jun 09 2022 - 23:13:35 +0300)
 +FPGA: Checking FPGA configuration setting ...
 +FPGA: Start to program peripheral/full bitstream ...
 +FPGA: Early Release Succeeded.
 +FPGA: Checking FPGA configuration setting ...
 +FPGA: Start to program peripheral/full bitstream ...
 +FPGA: Early Release Succeeded.
 +
 +U-Boot SPL 2021.07-16360-gee63370553-dirty (Jun 09 2022 - 23:13:35 +0300)
 +DDRCAL: Success
 +FPGA: Checking FPGA configuration setting ...
 +FPGA: Start to program core bitstream ...
 +Full Configuration Succeeded.
 +FPGA: Enter user mode.
 +WDT:   Started with servicing (10s timeout)
 +Trying to boot from MMC1
 +
 +U-Boot 2021.07-16360-gee63370553-dirty (Jun 09 2022 - 23:13:35 +0300)socfpga_arria10, Build: jenkins-master-quartus_boot_on_ubuntu_master-97
 +
 +CPU:   Altera SoCFPGA Arria 10
 +BOOT:  SD/MMC External Transceiver (1.8V)
 +Model: Altera SOCFPGA Arria 10
 +DRAM:  1 GiB
 +WDT:   Started with servicing (10s timeout)
 +MMC:   dwmmc0@ff808000: 0
 +Loading Environment from MMC... OK
 +In:    serial
 +Out:   serial
 +Err:   serial
 +Model: Altera SOCFPGA Arria 10
 +Net:   eth0: ethernet@ff800000
 +Hit any key to stop autoboot:  0
 +150 bytes read in 4 ms (36.1 KiB/s)
 +## Executing script at 02100000
 +Failed to load 'soc_system.rbf'
 +Full Configuration Succeeded.
 +FPGA: Enter user mode.
 +15038392 bytes read in 730 ms (19.6 MiB/s)
 +fpga - loadable FPGA image support
 +
 +Usage:
 +fpga [operation type] [device number] [image address] [image size]
 +fpga operations:
 +  dump  [dev] [address] [size]  Load device to memory buffer
 +  info  [dev]                   list known device information
 +  load  [dev] [address] [size]  Load device from memory buffer
 +  loadb [dev] [address] [size]  Load device from bitstream buffer (Xilinx only)
 +  loadmk [dev] [address]        Load device generated with mkimage
 +        For loadmk operating on FIT format uImage address must include
 +        subimage unit name in the form of addr:<subimg_uname>
 +switch to partitions #0, OK
 +mmc0 is current device
 +Scanning mmc 0:1...
 +Found /extlinux/extlinux.conf
 +Retrieving file: /extlinux/extlinux.conf
 +162 bytes read in 5 ms (31.3 KiB/s)
 +1:      Linux Default
 +Retrieving file: /extlinux/../zImage
 +8289256 bytes read in 408 ms (19.4 MiB/s)
 +append: root=/dev/mmcblk0p2 rw rootwait earlyprintk console=ttyS0,115200n8
 +Retrieving file: /extlinux/../socfpga_arria10_socdk_sdmmc.dtb
 +30586 bytes read in 9 ms (3.2 MiB/s)
 +Kernel image @ 0x1000000 [ 0x000000 - 0x7e7be8 ]
 +## Flattened Device Tree blob at 02000000
 +   Booting using the fdt blob at 0x2000000
 +   Loading Device Tree to 09ff5000, end 09fff779 ... OK
 +Starting kernel ...
 +
 +Deasserting all peripheral resets
 +[    0.000000] Booting Linux on physical CPU 0x0
 +[    0.000000] Linux version 5.10.0-98183-gf814ae972859-dirty (liviu@LADACE-Debian) (arm-none-linux-gnueabihf-gcc (GNU Toolchain for the A-profile Architecture 10.2-2020.11 (arm-10.16)) 10.2.1 20201103, GNU ld (GNU Toolchain for the A-profile Architecture 10.2-2020.11 (arm-10.16)) 2.35.1.20201028) #27 SMP Wed May 25 16:01:50 EEST 2022
 +[    0.000000] CPU: ARMv7 Processor [414fc091] revision 1 (ARMv7), cr=10c5387d
 +[    0.000000] CPU: PIPT / VIPT nonaliasing data cache, VIPT aliasing instruction cache
 +[    0.000000] OF: fdt: Machine model: Altera SOCFPGA Arria 10
 +[    0.000000] printk: bootconsole [earlycon0] enabled
 +[    0.000000] Memory policy: Data cache writealloc
 +[    0.000000] cma: Reserved 128 MiB at 0x38000000
 +[    0.000000] Zone ranges:
 +[    0.000000]   Normal   [mem 0x0000000000000000-0x000000002fffffff]
 +[    0.000000]   HighMem  [mem 0x0000000030000000-0x000000003fffffff]
 +[    0.000000] Movable zone start for each node
 +[    0.000000] Early memory node ranges
 +[    0.000000]   node   0: [mem 0x0000000000000000-0x000000003fffffff]
 +[    0.000000] Initmem setup node 0 [mem 0x0000000000000000-0x000000003fffffff]
 +[    0.000000] percpu: Embedded 19 pages/cpu s45324 r8192 d24308 u77824
 +[    0.000000] Built 1 zonelists, mobility grouping on.  Total pages: 260608
 +[    0.000000] Kernel command line: root=/dev/mmcblk0p2 rw rootwait earlyprintk console=ttyS0,115200n8
 +[    0.000000] Dentry cache hash table entries: 131072 (order: 7, 524288 bytes, linear)
 +[    0.000000] Inode-cache hash table entries: 65536 (order: 6, 262144 bytes, linear)
 +[    0.000000] mem auto-init: stack:off, heap alloc:off, heap free:off
 +[    0.000000] Memory: 884076K/1048576K available (13312K kernel code, 1284K rwdata, 7440K rodata, 1024K init, 348K bss, 33428K reserved, 131072K cma-reserved, 131072K highmem)
 +[    0.000000] SLUB: HWalign=64, Order=0-3, MinObjects=0, CPUs=2, Nodes=1
 +[    0.000000] ftrace: allocating 42085 entries in 83 pages
 +[    0.000000] ftrace: allocated 83 pages with 4 groups
 +[    0.000000] rcu: Hierarchical RCU implementation.
 +[    0.000000] rcu:     RCU event tracing is enabled.
 +[    0.000000]  Rude variant of Tasks RCU enabled.
 +[    0.000000] rcu: RCU calculated value of scheduler-enlistment delay is 10 jiffies.
 +[    0.000000] NR_IRQS: 16, nr_irqs: 16, preallocated irqs: 16
 +[    0.000000] L2C-310 erratum 769419 enabled
 +[    0.000000] L2C-310 enabling early BRESP for Cortex-A9
 +[    0.000000] L2C-310: enabling full line of zeros but not enabled in Cortex-A9
 +[    0.000000] L2C-310 ID prefetch enabled, offset 1 lines
 +[    0.000000] L2C-310 dynamic clock gating enabled, standby mode enabled
 +[    0.000000] L2C-310 cache controller enabled, 8 ways, 512 kB
 +[    0.000000] L2C-310: CACHE_ID 0x410030c9, AUX_CTRL 0x76560001
 +[    0.000000] random: get_random_bytes called from start_kernel+0x39c/0x558 with crng_init=0
 +[    0.000000] clocksource: timer1: mask: 0xffffffff max_cycles: 0xffffffff, max_idle_ns: 19112604467 ns
 +[    0.000005] sched_clock: 32 bits at 100MHz, resolution 10ns, wraps every 21474836475ns
 +[    0.007886] Switching to timer-based delay loop, resolution 10ns
 +[    0.014168] Console: colour dummy device 80x30
 +[    0.018614] Calibrating delay loop (skipped), value calculated using timer frequency.. 200.00 BogoMIPS (lpj=1000000)
 +[    0.029098] pid_max: default: 32768 minimum: 301
 +[    0.033798] Mount-cache hash table entries: 2048 (order: 1, 8192 bytes, linear)
 +[    0.041076] Mountpoint-cache hash table entries: 2048 (order: 1, 8192 bytes, linear)
 +[    0.049358] CPU: Testing write buffer coherency: ok
 +[    0.054259] CPU0: Spectre v2: using BPIALL workaround
 +[    0.059451] CPU0: thread -1, cpu 0, socket 0, mpidr 80000000
 +[    0.065541] Setting up static identity map for 0x100000 - 0x100060
 +[    0.071799] rcu: Hierarchical SRCU implementation.
 +[    0.076836] smp: Bringing up secondary CPUs ...
 +[    0.081933] CPU1: thread -1, cpu 1, socket 0, mpidr 80000001
 +[    0.081940] CPU1: Spectre v2: using BPIALL workaround
 +[    0.092713] smp: Brought up 1 node, 2 CPUs
 +[    0.096792] SMP: Total of 2 processors activated (400.00 BogoMIPS).
 +[    0.103043] CPU: All CPU(s) started in SVC mode.
 +[    0.108126] devtmpfs: initialized
 +[    0.115824] VFP support v0.3: implementor 41 architecture 3 part 30 variant 9 rev 4
 +[    0.123762] clocksource: jiffies: mask: 0xffffffff max_cycles: 0xffffffff, max_idle_ns: 19112604462750000 ns
 +[    0.133573] futex hash table entries: 512 (order: 3, 32768 bytes, linear)
 +[    0.144486] NET: Registered protocol family 16
 +[    0.150612] DMA: preallocated 256 KiB pool for atomic coherent allocations
 +[    0.158377] hw-breakpoint: found 5 (+1 reserved) breakpoint and 1 watchpoint registers.
 +[    0.166360] hw-breakpoint: maximum watchpoint size is 4 bytes.
 +[    0.178562] OF: /soc/gpio@ffc02a00/gpio-controller@0: could not get #gpio-cells for /soc/clkmgr@ffd04000/clocks/l4_sp_clk
 +[    0.191504] OF: /soc/gpio@ffc02a00/gpio-controller@0: could not get #gpio-cells for /soc/clkmgr@ffd04000/clocks/l4_sp_clk
 +[    0.212418] vgaarb: loaded
 +[    0.215392] SCSI subsystem initialized
 +[    0.219290] usbcore: registered new interface driver usbfs
 +[    0.224797] usbcore: registered new interface driver hub
 +[    0.230122] usbcore: registered new device driver usb
 +[    0.235284] usb_phy_generic soc:usbphy: supply vcc not found, using dummy regulator
 +[    0.245476] mc: Linux media interface: v0.10
 +[    0.249753] videodev: Linux video capture interface: v2.00
 +[    0.255312] pps_core: LinuxPPS API ver. 1 registered
 +[    0.260254] pps_core: Software ver. 5.3.6 - Copyright 2005-2007 Rodolfo Giometti <giometti@linux.it>
 +[    0.269376] PTP clock support registered
 +[    0.273547] jesd204: found 0 devices and 0 topologies
 +[    0.278599] FPGA manager framework
 +[    0.282059] Advanced Linux Sound Architecture Driver Initialized.
 +[    0.289059] clocksource: Switched to clocksource timer1
 +[    0.814051] NET: Registered protocol family 2
 +[    0.818906] tcp_listen_portaddr_hash hash table entries: 512 (order: 0, 6144 bytes, linear)
 +[    0.827312] TCP established hash table entries: 8192 (order: 3, 32768 bytes, linear)
 +[    0.835085] TCP bind hash table entries: 8192 (order: 4, 65536 bytes, linear)
 +[    0.842298] TCP: Hash tables configured (established 8192 bind 8192)
 +[    0.848723] UDP hash table entries: 512 (order: 2, 16384 bytes, linear)
 +[    0.855377] UDP-Lite hash table entries: 512 (order: 2, 16384 bytes, linear)
 +[    0.862577] NET: Registered protocol family 1
 +[    0.867321] RPC: Registered named UNIX socket transport module.
 +[    0.873246] RPC: Registered udp transport module.
 +[    0.877928] RPC: Registered tcp transport module.
 +[    0.882620] RPC: Registered tcp NFSv4.1 backchannel transport module.
 +[    0.889045] PCI: CLS 0 bytes, default 64
 +[    0.894135] workingset: timestamp_bits=30 max_order=18 bucket_order=0
 +[    0.905441] NFS: Registering the id_resolver key type
 +[    0.910533] Key type id_resolver registered
 +[    0.914697] Key type id_legacy registered
 +[    0.918694] Installing knfsd (copyright (C) 1996 okir@monad.swb.de).
 +[    0.925534] ntfs: driver 2.1.32 [Flags: R/W].
 +[    0.930026] jffs2: version 2.2. (NAND) © 2001-2006 Red Hat, Inc.
 +[    0.936524] fuse: init (API version 7.32)
 +[    0.940868] bounce: pool size: 64 pages
 +[    0.944693] io scheduler mq-deadline registered
 +[    0.949230] io scheduler kyber registered
 +[    0.957511] dma-pl330 ffda1000.pdma: Loaded driver for PL330 DMAC-341330
 +[    0.964223] dma-pl330 ffda1000.pdma:         DBUFF-512x8bytes Num_Chans-8 Num_Peri-32 Num_Events-8
 +[    0.974943] Serial: 8250/16550 driver, 2 ports, IRQ sharing disabled
 +[    0.982120] printk: console [ttyS0] disabled
 +[    0.986427] ffc02100.serial1: ttyS0 at MMIO 0xffc02100 (irq = 45, base_baud = 6250000) is a 16550A
 +[    0.995416] printk: console [ttyS0] enabled
 +[    0.995416] printk: console [ttyS0] enabled
 +[    1.003753] printk: bootconsole [earlycon0] disabled
 +[    1.003753] printk: bootconsole [earlycon0] disabled
 +[    1.015314] brd: module loaded
 +[    1.018644] at24 0-0051: supply vcc not found, using dummy regulator
 +[    1.026304] at24 0-0051: 4096 byte 24c32 EEPROM, writable, 32 bytes/write
 +[    1.034084] spi_altera ff200040.spi: regoff 0, irq 48
 +[    1.040511] altr_a10sr_gpio altr_a10sr_gpio.0.auto: DMA mask not set
 +[    1.047986] libphy: Fixed MDIO Bus: probed
 +[    1.052567] CAN device driver interface
 +[    1.056629] socfpga-dwmac ff800000.ethernet: IRQ eth_wake_irq not found
 +[    1.063246] socfpga-dwmac ff800000.ethernet: IRQ eth_lpi not found
 +[    1.069526] socfpga-dwmac ff800000.ethernet: No sysmgr-syscon node found
 +[    1.076198] socfpga-dwmac ff800000.ethernet: Unable to parse OF data
 +[    1.082581] socfpga-dwmac: probe of ff800000.ethernet failed with error -524
 +[    1.089769] stmmaceth ff800000.ethernet: IRQ eth_wake_irq not found
 +[    1.096011] stmmaceth ff800000.ethernet: IRQ eth_lpi not found
 +[    1.102086] stmmaceth ff800000.ethernet: User ID: 0x10, Synopsys ID: 0x37
 +[    1.108850] stmmaceth ff800000.ethernet:     DWMAC1000
 +[    1.113722] stmmaceth ff800000.ethernet: DMA HW capability register supported
 +[    1.120841] stmmaceth ff800000.ethernet: RX Checksum Offload Engine supported
 +[    1.127943] stmmaceth ff800000.ethernet: COE Type 2
 +[    1.132807] stmmaceth ff800000.ethernet: TX Checksum insertion supported
 +[    1.139484] stmmaceth ff800000.ethernet: Enhanced/Alternate descriptors
 +[    1.146068] stmmaceth ff800000.ethernet: Enabled extended descriptors
 +[    1.152490] stmmaceth ff800000.ethernet: Ring mode enabled
 +[    1.157950] stmmaceth ff800000.ethernet: Enable RX Mitigation via HW Watchdog Timer
 +[    1.173670] libphy: stmmac: probed
 +[    1.177073] Micrel KSZ9031 Gigabit PHY stmmac-0:07: attached PHY driver [Micrel KSZ9031 Gigabit PHY] (mii_bus:phy_addr=stmmac-0:07, irq=POLL)
 +[    1.190760] usbcore: registered new interface driver asix
 +[    1.196178] usbcore: registered new interface driver ax88179_178a
 +[    1.202304] usbcore: registered new interface driver cdc_ether
 +[    1.208130] usbcore: registered new interface driver net1080
 +[    1.213804] usbcore: registered new interface driver cdc_subset
 +[    1.219725] usbcore: registered new interface driver zaurus
 +[    1.225310] usbcore: registered new interface driver cdc_ncm
 +[    1.231429] dwc2 ffb00000.usb: supply vusb_d not found, using dummy regulator
 +[    1.238653] dwc2 ffb00000.usb: supply vusb_a not found, using dummy regulator
 +[    1.246013] dwc2 ffb00000.usb: EPs: 16, dedicated fifos, 8064 entries in SPRAM
 +[    1.253648] dwc2 ffb00000.usb: DWC OTG Controller
 +[    1.258354] dwc2 ffb00000.usb: new USB bus registered, assigned bus number 1
 +[    1.265430] dwc2 ffb00000.usb: irq 46, io mem 0xffb00000
 +[    1.270874] usb usb1: New USB device found, idVendor=1d6b, idProduct=0002, bcdDevice= 5.10
 +[    1.279113] usb usb1: New USB device strings: Mfr=3, Product=2, SerialNumber=1
 +[    1.286304] usb usb1: Product: DWC OTG Controller
 +[    1.290994] usb usb1: Manufacturer: Linux 5.10.0-98183-gf814ae972859-dirty dwc2_hsotg
 +[    1.298787] usb usb1: SerialNumber: ffb00000.usb
 +[    1.303852] hub 1-0:1.0: USB hub found
 +[    1.307616] hub 1-0:1.0: 1 port detected
 +[    1.312338] ehci_hcd: USB 2.0 'Enhanced' Host Controller (EHCI) Driver
 +[    1.318839] ehci-pci: EHCI PCI platform driver
 +[    1.323776] usbcore: registered new interface driver uas
 +[    1.329160] usbcore: registered new interface driver usb-storage
 +[    1.335214] usbcore: registered new interface driver usbserial_generic
 +[    1.341746] usbserial: USB Serial support registered for generic
 +[    1.347745] usbcore: registered new interface driver ftdi_sio
 +[    1.353494] usbserial: USB Serial support registered for FTDI USB Serial Device
 +[    1.360844] usbcore: registered new interface driver upd78f0730
 +[    1.366749] usbserial: USB Serial support registered for upd78f0730
 +[    1.376546] rtc-ds1307 0-0068: SET TIME!
 +[    1.384754] rtc-ds1307 0-0068: registered as rtc0
 +[    1.389543] i2c /dev entries driver
 +[    1.393645] usbcore: registered new interface driver uvcvideo
 +[    1.399381] USB Video Class driver (1.1.1)
 +[    1.407645] ltc2978: probe of 0-005c failed with error -121
 +[    1.413942] Synopsys Designware Multimedia Card Interface Driver
 +[    1.420180] dw_mmc ff808000.dwmmc0: IDMAC supports 32-bit address mode.
 +[    1.426844] dw_mmc ff808000.dwmmc0: Using internal DMA controller.
 +[    1.433036] dw_mmc ff808000.dwmmc0: Version ID is 270a
 +[    1.438197] dw_mmc ff808000.dwmmc0: DW MMC controller at irq 41,32 bit host data width,1024 deep fifo
 +[    1.447540] mmc_host mmc0: card is polling.
 +[    1.453462] ledtrig-cpu: registered to indicate activity on CPUs
 +[    1.459576] usbcore: registered new interface driver usbhid
 +[    1.464345] mmc_host mmc0: Bus speed (slot 0) = 50000000Hz (slot req 400000Hz, actual 396825HZ div = 63)
 +[    1.465125] usbhid: USB HID core driver
 +[    1.490963] fpga_manager fpga0: SoCFPGA Arria10 FPGA Manager registered
 +[    1.498177] usbcore: registered new interface driver snd-usb-audio
 +[    1.506251] NET: Registered protocol family 10
 +[    1.511420] Segment Routing with IPv6
 +[    1.515131] sit: IPv6, IPv4 and MPLS over IPv4 tunneling driver
 +[    1.521517] NET: Registered protocol family 17
 +[    1.525961] NET: Registered protocol family 15
 +[    1.530557] can: controller area network core
 +[    1.534941] NET: Registered protocol family 29
 +[    1.539395] can: raw protocol
 +[    1.542352] can: broadcast manager protocol
 +[    1.546521] can: netlink gateway - max_hops=1
 +[    1.551013] 8021q: 802.1Q VLAN Support v1.8
 +[    1.552310] mmc_host mmc0: Bus speed (slot 0) = 50000000Hz (slot req 50000000Hz, actual 50000000HZ div = 0)
 +[    1.555215] NET: Registered protocol family 36
 +[    1.564943] mmc0: new high speed SDHC card at address aaaa
 +[    1.569338] Key type dns_resolver registered
 +[    1.575303] mmcblk0: mmc0:aaaa SC32G 29.7 GiB
 +[    1.579361] oprofile: no performance counters
 +[    1.587935] oprofile: using timer interrupt.
 +[    1.592299] ThumbEE CPU extension supported.
 +[    1.592852]  mmcblk0: p1 p2 p3
 +[    1.596566] Registering SWP/SWPB emulation handler
 +[    1.628855] adrv9002 spi0.0: adrv9002_setup, 2804: failed with "Failed to reset device and set SPI Config" (3)
 +[    1.639954] adrv9002 spi0.0: adrv9002_setup, 2804: failed with "Failed to reset device and set SPI Config" (3)
 +[    1.651046] adrv9002 spi0.0: adrv9002_init, 4197: failed with "Failed to reset device and set SPI Config" (3)
 +[    1.660988] cf_axi_adc: probe of ff220000.axi-adrv9002-rx1-lpc failed with error -14
 +[    1.671202] of_cfs_init
 +[    1.673672] of_cfs_init: OK
 +[    1.676654] ALSA device list:
 +[    1.679631]   No soundcards found.
 +[    1.683233] dw-apb-uart ffc02100.serial1: forbid DMA for kernel console
 +[    1.707544] random: fast init done
 +[    1.942481] EXT4-fs (mmcblk0p2): recovery complete
 +[    1.948189] EXT4-fs (mmcblk0p2): mounted filesystem with ordered data mode. Opts: (null)
 +[    1.956309] VFS: Mounted root (ext4 filesystem) on device 179:2.
 +[    1.965770] devtmpfs: mounted
 +[    1.971587] Freeing unused kernel memory: 1024K
 +[    1.976516] Run /sbin/init as init process
 +[    2.495793] systemd[1]: System time before build time, advancing clock.
 +[    2.546077] systemd[1]: systemd 247.3-7+rpi1 running in system mode. (+PAM +AUDIT +SELINUX +IMA +APPARMOR +SMACK +SYSVINIT +UTMP +LIBCRYPTSETUP +GCRYPT +GNUTLS +ACL +XZ +LZ4 +ZSTD +SECCOMP +BLKID +ELFUTILS +KMOD +IDN2 -IDN +PCRE2 default-hierarchy=unified)
 +[    2.569272] systemd[1]: Detected architecture arm.
 +
 +Welcome to Kuiper GNU/Linux 11.2 (bullseye)!
 +
 +[    2.622132] systemd[1]: Set hostname to <analog>.
 +[    4.137436] systemd[1]: /lib/systemd/system/plymouth-start.service:16: Unit configured to use KillMode=none. This is unsafe, as it disables systemd's process lifecycle management for the service. Please update your service to use a safer KillMode=, such as 'mixed' or 'control-group'. Support for KillMode=none is deprecated and will eventually be removed.
 +[    4.378487] systemd[1]: Queued start job for default target Graphical Interface.
 +[    4.387531] random: systemd: uninitialized urandom read (16 bytes read)
 +[    4.394498] systemd[1]: system-getty.slice: unit configures an IP firewall, but the local system does not support BPF/cgroup firewalling.
 +[    4.406868] systemd[1]: (This warning is only shown for the first unit using IP firewalling.)
 +[    4.416537] systemd[1]: Created slice system-getty.slice.
 +[  OK  ] Created slice system-getty.slice.
 +[    4.449268] random: systemd: uninitialized urandom read (16 bytes read)
 +[    4.456609] systemd[1]: Created slice system-modprobe.slice.
 +[  OK  ] Created slice system-modprobe.slice.
 +[    4.489256] random: systemd: uninitialized urandom read (16 bytes read)
 +[    4.496572] systemd[1]: Created slice system-serial\x2dgetty.slice.
 +[  OK  ] Created slice system-serial\x2dgetty.slice.
 +[    4.529917] systemd[1]: Created slice system-systemd\x2dfsck.slice.
 +[  OK  ] Created slice system-systemd\x2dfsck.slice.
 +[    4.559661] systemd[1]: Created slice User and Session Slice.
 +[  OK  ] Created slice User and Session Slice.
 +[    4.589562] systemd[1]: Started Forward Password Requests to Wall Directory Watch.
 +[  OK  ] Started Forward Password R…uests to Wall Directory Watch.
 +[    4.619502] systemd[1]: Condition check resulted in Arbitrary Executable File Formats File System Automount Point being skipped.
 +[    4.631946] systemd[1]: Reached target Slices.
 +[  OK  ] Reached target Slices.
 +[    4.659334] systemd[1]: Reached target Swap.
 +[  OK  ] Reached target Swap.
 +[    4.690278] systemd[1]: Listening on Syslog Socket.
 +[  OK  ] Listening on Syslog Socket.
 +[    4.719816] systemd[1]: Listening on fsck to fsckd communication Socket.
 +[  OK  ] Listening on fsck to fsckd communication Socket.
 +[    4.749507] systemd[1]: Listening on initctl Compatibility Named Pipe.
 +[  OK  ] Listening on initctl Compatibility Named Pipe.
 +[    4.802106] systemd[1]: Condition check resulted in Journal Audit Socket being skipped.
 +[    4.810944] systemd[1]: Listening on Journal Socket (/dev/log).
 +[  OK  ] Listening on Journal Socket (/dev/log).
 +[    4.839975] systemd[1]: Listening on Journal Socket.
 +[  OK  ] Listening on Journal Socket.
 +[    4.879147] systemd[1]: Listening on udev Control Socket.
 +[  OK  ] Listening on udev Control Socket.
 +[    4.909763] systemd[1]: Listening on udev Kernel Socket.
 +[  OK  ] Listening on udev Kernel Socket.
 +[    4.939873] systemd[1]: Condition check resulted in Huge Pages File System being skipped.
 +[    4.948438] systemd[1]: Condition check resulted in POSIX Message Queue File System being skipped.
 +[    4.960413] systemd[1]: Mounting RPC Pipe File System...
 +         Mounting RPC Pipe File System...
 +[    4.992209] systemd[1]: Mounting Kernel Debug File System...
 +         Mounting Kernel Debug File System...
 +[    5.022090] systemd[1]: Mounting Kernel Trace File System...
 +         Mounting Kernel Trace File System...
 +[    5.049485] systemd[1]: Condition check resulted in Kernel Module supporting RPCSEC_GSS being skipped.
 +[    5.065551] systemd[1]: Starting Restore / save the current clock...
 +         Starting Restore / save the current clock...
 +[    5.102840] systemd[1]: Starting Set the console keyboard layout...
 +         Starting Set the console keyboard layout...
 +[    5.140096] systemd[1]: Condition check resulted in Create list of static device nodes for the current kernel being skipped.
 +[    5.155786] systemd[1]: Starting Load Kernel Module configfs...
 +         Starting Load Kernel Module configfs...
 +[    5.192594] systemd[1]: Starting Load Kernel Module drm...
 +         Starting Load Kernel Module drm...
 +[    5.212630] systemd[1]: Starting Load Kernel Module fuse...
 +         Starting Load Kernel Module fuse...
 +[    5.254201] systemd[1]: Condition check resulted in Set Up Additional Binary Formats being skipped.
 +[    5.263665] systemd[1]: Condition check resulted in File System Check on Root Device being skipped.
 +[    5.276012] systemd[1]: Starting Journal Service...
 +         Starting Journal Service...
 +[    5.296804] systemd[1]: Starting Load Kernel Modules...
 +         Starting Load Kernel Modules...
 +[    5.342287] systemd[1]: Starting Remount Root and Kernel File Systems...
 +         Starting Remount Root and Kernel File Systems...
 +[    5.382711] systemd[1]: Starting Coldplug All udev Devices...
 +         Starting Coldplug All udev Devices...
 +[    5.426047] systemd[1]: Mounted RPC Pipe File System.
 +[  OK  ] Mounted RPC Pipe File System.
 +[    5.472608] systemd[1]: Mounted Kernel Debug File System.
 +[  OK  ] Mounted Kernel Debug File System.
 +[    5.488602] systemd[1]: Mounted Kernel Trace File System.
 +[  OK  ] Mounted Kernel Trace File System.
 +[    5.520488] systemd[1]: Finished Restore / save the current clock.
 +[  OK  ] Finished Restore / save the current clock.
 +[    5.596975] systemd[1]: modprobe@configfs.service: Succeeded.
 +[    5.611072] systemd[1]: Finished Load Kernel Module configfs.
 +[  OK  ] Finished Load Kernel Module configfs.
 +[    5.629523] systemd[1]: Started Journal Service.
 +[    5.645346] EXT4-fs (mmcblk0p2): re-mounted. Opts: (null)
 +[  OK  ] Started Journal Service.
 +[  OK  ] Finished Set the console keyboard layout.
 +[  OK  ] Finished Load Kernel Module drm.
 +[  OK  ] Finished Load Kernel Module fuse.
 +[FAILED] Failed to start Load Kernel Modules.
 +See 'systemctl status systemd-modules-load.service' for details.
 +[  OK  ] Finished Remount Root and Kernel File Systems.
 +         Mounting FUSE Control File System...
 +         Mounting Kernel Configuration File System...
 +         Starting Flush Journal to Persistent Storage...
 +         Starting Load/Save Random Seed...
 +         Starting Apply Kernel Variables...
 +[    6.015539] systemd-journald[98]: Received client request to flush runtime journal.
 +         Starting Create System Users...
 +[    6.056206] systemd-journald[98]: File /var/log/journal/1064eace00dd4e3daeb15d4eed400196/system.journal corrupted or uncleanly shut down, renaming and replacing.
 +[  OK  ] Mounted FUSE Control File System.
 +[  OK  ] Finished Coldplug All udev Devices.
 +[  OK  ] Mounted Kernel Configuration File System.
 +[  OK  ] Finished Apply Kernel Variables.
 +[  OK  ] Finished Create System Users.
 +         Starting Helper to synchronize boot up for ifupdown...
 +         Starting Create Static Device Nodes in /dev...
 +         Starting Wait for udev To …plete Device Initialization...
 +[  OK  ] Finished Helper to synchronize boot up for ifupdown.
 +[  OK  ] Finished Create Static Device Nodes in /dev.
 +[  OK  ] Reached target Local File Systems (Pre).
 +         Starting Rule-based Manage…for Device Events and Files...
 +[  OK  ] Finished Flush Journal to Persistent Storage.
 +[  OK  ] Started Rule-based Manager for Device Events and Files.
 +         Starting Show Plymouth Boot Screen...
 +[  OK  ] Started Show Plymouth Boot Screen.
 +[  OK  ] Started Forward Password R…s to Plymouth Directory Watch.
 +[  OK  ] Reached target Local Encrypted Volumes.
 +[  OK  ] Finished Load/Save Random Seed.
 +[  OK  ] Reached target Hardware activated USB gadget.
 +         Starting Load Kernel Modules...
 +[  OK  ] Found device /dev/ttyS0.
 +[FAILED] Failed to start Load Kernel Modules.
 +See 'systemctl status systemd-modules-load.service' for details.
 +[  OK  ] Found device /dev/disk/by-partuuid/0b25bea5-01.
 +         Starting File System Check…isk/by-partuuid/0b25bea5-01...
 +[  OK  ] Started File System Check Daemon to report status.
 +[  OK  ] Finished Wait for udev To Complete Device Initialization.
 +[  OK  ] Finished File System Check…/disk/by-partuuid/0b25bea5-01.
 +         Mounting /boot...
 +[  OK  ] Mounted /boot.
 +[  OK  ] Reached target Local File Systems.
 +         Starting Set console font and keymap...
 +         Starting Raise network interfaces...
 +         Starting Preprocess NFS configuration...
 +         Starting Tell Plymouth To Write Out Runtime Data...
 +         Starting Create Volatile Files and Directories...
 +[  OK  ] Finished Set console font and keymap.
 +[  OK  ] Finished Tell Plymouth To Write Out Runtime Data.
 +[  OK  ] Finished Preprocess NFS configuration.
 +[  OK  ] Reached target NFS client services.
 +[  OK  ] Reached target Remote File Systems (Pre).
 +[  OK  ] Reached target Remote File Systems.
 +[  OK  ] Finished Create Volatile Files and Directories.
 +         Starting Update UTMP about System Boot/Shutdown...
 +[  OK  ] Finished Update UTMP about System Boot/Shutdown.
 +[  OK  ] Reached target System Initialization.
 +[  OK  ] Started CUPS Scheduler.
 +[  OK  ] Started Daily apt download activities.
 +[  OK  ] Started Daily apt upgrade and clean activities.
 +[  OK  ] Started Periodic ext4 Onli…ata Check for All Filesystems.
 +[  OK  ] Started Discard unused blocks once a week.
 +[  OK  ] Started Daily rotation of log files.
 +[  OK  ] Started Daily man-db regeneration.
 +[  OK  ] Started Daily Cleanup of Temporary Directories.
 +[  OK  ] Reached target Paths.
 +[  OK  ] Reached target Timers.
 +[  OK  ] Listening on Avahi mDNS/DNS-SD Stack Activation Socket.
 +[  OK  ] Listening on CUPS Scheduler.
 +[  OK  ] Listening on D-Bus System Message Bus Socket.
 +[  OK  ] Listening on Erlang Port Mapper Daemon Activation Socket.
 +[  OK  ] Listening on GPS (Global P…ioning System) Daemon Sockets.
 +[  OK  ] Listening on triggerhappy.socket.
 +[  OK  ] Reached target Sockets.
 +[  OK  ] Reached target Basic System.
 +         Starting Avahi mDNS/DNS-SD Stack...
 +[  OK  ] Started Regular background program processing daemon.
 +[  OK  ] Started D-Bus System Message Bus.
 +         Starting dphys-swapfile - …unt, and delete a swap file...
 +         Starting Remove Stale Onli…t4 Metadata Check Snapshots...
 +         Starting Creating IIOD Context Attributes......
 +         Starting Authorization Manager...
 +         Starting DHCP Client Daemon...
 +         Starting LSB: Switch to on…nless shift key is pressed)...
 +         Starting LSB: rng-tools (Debian variant)...
 +         Starting Check for Raspberry Pi EEPROM updates...
 +         Starting System Logging Service...
 +         Starting User Login Management...
 +         Starting triggerhappy global hotkey daemon...
 +         Starting Disk Manager...
 +         Starting WPA supplicant...
 +[  OK  ] Started Avahi mDNS/DNS-SD Stack.
 +[  OK  ] Finished Check for Raspberry Pi EEPROM updates.
 +[  OK  ] Started triggerhappy global hotkey daemon.
 +[  OK  ] Started System Logging Service.
 +[  OK  ] Started Authorization Manager.
 +[  OK  ] Started DHCP Client Daemon.
 +         Starting Modem Manager...
 +[  OK  ] Started WPA supplicant.
 +[FAILED] Failed to start dphys-swap…mount, and delete a swap file.
 +See 'systemctl status dphys-swapfile.service' for details.
 +[  OK  ] Finished Raise network interfaces.
 +[  OK  ] Reached target Network.
 +[  OK  ] Reached target Network is Online.
 +         Starting CUPS Scheduler...
 +[  OK  ] Started Erlang Port Mapper Daemon.
 +         Starting Load USB gadget scheme...
 +         Starting HTTP based time synchronization tool...
 +         Starting Internet superserver...
 +         Starting /etc/rc.local Compatibility...
 +         Starting OpenBSD Secure Shell server...
 +         Starting Permit User Sessions...
 +[  OK  ] Started LSB: Switch to ond…(unless shift key is pressed).
 +[  OK  ] Started LSB: rng-tools (Debian variant).
 +[  OK  ] Found device /dev/ttyGS0.
 +[  OK  ] Finished Load USB gadget scheme.
 +[  OK  ] Started /etc/rc.local Compatibility.
 +         Mounting Mount FunctionFS instance...
 +[  OK  ] Started Internet superserver.
 +[  OK  ] Mounted Mount FunctionFS instance.
 +[  OK  ] Finished Permit User Sessions.
 +         Starting Light Display Manager...
 +         Starting Hold until boot process finishes up...
 +[  OK  ] Started HTTP based time synchronization tool.
 +[  OK  ] Started User Login Management.
 +[  OK  ] Started Unattended Upgrades Shutdown.
 +[  OK  ] Finished Remove Stale Onli…ext4 Metadata Check Snapshots.
 +[FAILED] Failed to start VNC Server for X11.
 +
 +Raspbian GNU/Linux 11 analog ttyS0
 +
 +analog login: root (automatic login)
 +
 +Password:
 +Linux analog 5.10.0-98183-gf814ae972859-dirty #27 SMP Wed May 25 16:01:50 EEST 2022 armv7l
 +
 +The programs included with the Debian GNU/Linux system are free software;
 +the exact distribution terms for each program are described in the
 +individual files in /usr/share/doc/*/copyright.
 +
 +Debian GNU/Linux comes with ABSOLUTELY NO WARRANTY, to the extent
 +permitted by applicable law.
 +Last login: Fri Jun 17 14:45:22 BST 2022 on ttyS0
 +root@analog:~#
 +</code>
 +</hidden>
 +
 +<WRAP important round>Even thought this is Linux, this is a persistent file systems. You have to take care not to corrupt the file system -- please shut down things, don't just turn off the power switch. Depending on your monitor, the standard power off could be hiding. You can do this from the terminal as well with:\\ ''sudo shutdown -h now''\\ or\\ ''sudo poweroff''
 +\\
 +</WRAP>
 +
 +==== Users and Passwords =====
 +
 +The default user is the "analog" user, the password for this user is "analog". The password for the "root" account is "analog" as well.
 +
 +^ User ^ Password ^
 +| root | analog |
 +| analog | analog |
 +==== Staying up to date ==== 
 +
 +<WRAP WARN>There are 2 things to update:
 +  - Userspace Tools (GUI/tools, in the Linux rootfs).
 +  - ZYNQ Processing System / FPGA Boot Files & Linux kernel (the FAT32 BOOT partition).
 +Upgrading one side, without upgrading the other might cause more problems than it solves. If you are upgrading, please upgrade both.
 +</WRAP>
 +
 +Staying up to date is a combination of:
 +  * Package management via apt-get
 +  * checking out source code with git tools
 +  * downloading files via wget
 +
 +If you need to use a proxy for any of these:
 +  * [[https://help.ubuntu.com/community/AptGet/Howto#Setting_up_apt-get_to_use_a_http-proxy|apt-get proxy]]
 +  * [[http://www.gnu.org/software/wget/manual/html_node/Proxies.html|git, wget, and curl proxies]]
 +
 +
 +=== User Space Tools ===
 +
 +There are a number of ADI provided tools in the file system.
 +There is an easy way to rebuild these projects from source.
 +The only requirement is an healthy image and active Internet connection.
 +
 +In order to update all ADI tools - simply call the update script as shown below:
 +In case you only want to update a certain project, the script accepts a single parameter, the ADI github project name. (https://github.com/analogdevicesinc) 
 +
 +<WRAP tip>If you are using an old image & old update tools script - you may need to run the update tools script twice (the first time it updates the update script, and the second time it updates everything else). This has been resolved in the most recent update script (the script updates itself, and switches over to the new one), so you only need to do this if you are using an older version.</WRAP>
 +
 +<WRAP box bggreen><wrap info>This specifies any shell prompt running on the target</wrap>
 +<xterm>
 +root@analog:~# **adi_update_tools.sh**
 +</xterm></WRAP>
 +
 +<hidden **Complete Update Tools Log** (Click to expand)>
 +<code>
 +
 +2022-05-06 14:35:15 URL: http://github.com/analogdevicesinc 200 OK
 + *** Updating linux_image_ADI-scripts BRANCH origin/master ***
 +HEAD is now at 47416bc update_tools: Add HWMON and examples to libiio builds
 +remote: Enumerating objects: 32, done.
 +remote: Counting objects: 100% (32/32), done.
 +remote: Compressing objects: 100% (16/16), done.
 +remote: Total 32 (delta 16), reused 30 (delta 16), pack-reused 0
 +Unpacking objects: 100% (32/32), 7.80 KiB | 133.00 KiB/s, done.
 +From https://github.com/analogdevicesinc/linux_image_ADI-scripts
 + * [new branch]      add_2021_R1_release -> origin/add_2021_R1_release
 +   47416bc..425510e  master              -> origin/master
 + + c989e52...4bf27ce rpi_boot_files      -> origin/rpi_boot_files  (forced update)
 + *** Building linux_image_ADI-scripts ***
 +./adi_update_tools.sh has been updated, switching to new one
 +2022-05-06 14:35:18 URL: http://github.com/analogdevicesinc 200 OK
 + *** Updating linux_image_ADI-scripts BRANCH origin/master ***
 +HEAD is now at 425510e adi_update_boot.sh: Replace windows carrige/return
 + *** Building linux_image_ADI-scripts ***
 +./adi_update_tools.sh script is the same, continuing
 +Reading package lists... Done
 +Building dependency tree... Done
 +Reading state information... Done
 +Note, selecting 'libncurses-dev' instead of 'ncurses-dev'
 +bison is already the newest version (2:3.7.5+dfsg-1).
 +flex is already the newest version (2.6.4-8).
 +libaio-dev is already the newest version (0.3.112-9+rpi1).
 +libavahi-client-dev is already the newest version (0.8-5).
 +libavahi-common-dev is already the newest version (0.8-5).
 +libcdk5-dev is already the newest version (5.0.20180306-3).
 +libcurl4-openssl-dev is already the newest version (7.74.0-1.3+deb11u1).
 +libfftw3-dev is already the newest version (3.3.8-2).
 +libgtkdatabox-dev is already the newest version (1:0.9.3.1-2).
 +libjansson-dev is already the newest version (2.13.1-1.1).
 +libmatio-dev is already the newest version (1.5.19-2).
 +libncurses-dev is already the newest version (6.2+20201114-2).
 +libserialport-dev is already the newest version (0.1.1-4).
 +libxml2 is already the newest version (2.9.10+dfsg-6.7+deb11u1).
 +libxml2-dev is already the newest version (2.9.10+dfsg-6.7+deb11u1).
 +cmake is already the newest version (3.18.4-2+rpt1+rpi1).
 +libgtk2.0-dev is already the newest version (2.24.33-2+rpt1).
 +0 upgraded, 0 newly installed, 0 to remove and 49 not upgraded.
 +Reading package lists... Done
 +Building dependency tree... Done
 +Reading state information... Done
 +evtest is already the newest version (1:1.34-1).
 +gpsd is already the newest version (3.22-4).
 +gpsd-clients is already the newest version (3.22-4).
 +u-boot-tools is already the newest version (2021.01+dfsg-5+rpi1).
 +0 upgraded, 0 newly installed, 0 to remove and 49 not upgraded.
 +Cannot read environment, using default
 +Cannot read default environment from file
 +sed: can't read /etc/update-motd.d/10-help-text: No such file or directory
 +make: *** No rule to make target 'clean' Stop.
 +install -d /usr/local/bin
 +install ./*.sh /usr/local/bin/
 +/bin/sh usb-gadget-service/install_gt.sh
 +Reading package lists... Done
 +Building dependency tree... Done
 +Reading state information... Done
 +libconfig-dev is already the newest version (1.5-0.4).
 +Already up to date.
 +checking for a BSD-compatible install... /usr/bin/install -c
 +checking whether build environment is sane... yes
 +checking for a thread-safe mkdir -p... /usr/bin/mkdir -p
 +checking for gawk... no
 +checking for mawk... mawk
 +checking whether make sets $(MAKE)... yes
 +checking whether make supports nested variables... yes
 +checking for gcc... gcc
 +checking whether the C compiler works... yes
 +checking for C compiler default output file name... a.out
 +checking for suffix of executables...
 +checking whether we are cross compiling... no
 +checking for suffix of object files... o
 +checking whether we are using the GNU C compiler... yes
 +checking whether gcc accepts -g... yes
 +checking for gcc option to accept ISO C89... none needed
 +checking whether gcc understands -c and -o together... yes
 +checking whether make supports the include directive... yes (GNU style)
 +checking dependency style of gcc... gcc3
 +checking for g++... g++
 +checking whether we are using the GNU C++ compiler... yes
 +checking whether g++ accepts -g... yes
 +checking dependency style of g++... gcc3
 +checking for ar... ar
 +checking the archiver (ar) interface... ar
 +checking for pkg-config... /usr/bin/pkg-config
 +checking pkg-config is at least version 0.9.0... yes
 +checking for libconfig >= 1.4... yes
 +checking for libconfig >= 1.5... yes
 +checking build system type... armv7l-unknown-linux-gnueabihf
 +checking host system type... armv7l-unknown-linux-gnueabihf
 +checking how to print strings... printf
 +checking for a sed that does not truncate output... /usr/bin/sed
 +checking for grep that handles long lines and -e... /usr/bin/grep
 +checking for egrep... /usr/bin/grep -E
 +checking for fgrep... /usr/bin/grep -F
 +checking for ld used by gcc... /usr/bin/ld
 +checking if the linker (/usr/bin/ld) is GNU ld... yes
 +checking for BSD- or MS-compatible name lister (nm)... /usr/bin/nm -B
 +checking the name lister (/usr/bin/nm -B) interface... BSD nm
 +checking whether ln -s works... yes
 +checking the maximum length of command line arguments... 1572864
 +checking how to convert armv7l-unknown-linux-gnueabihf file names to armv7l-unknown-linux-gnueabihf format... func_convert_file_noop
 +checking how to convert armv7l-unknown-linux-gnueabihf file names to toolchain format... func_convert_file_noop
 +checking for /usr/bin/ld option to reload object files... -r
 +checking for objdump... objdump
 +checking how to recognize dependent libraries... pass_all
 +checking for dlltool... no
 +checking how to associate runtime and link libraries... printf %s\n
 +checking for archiver @FILE support... @
 +checking for strip... strip
 +checking for ranlib... ranlib
 +checking command to parse /usr/bin/nm -B output from gcc object... ok
 +checking for sysroot... no
 +checking for a working dd... /usr/bin/dd
 +checking how to truncate binary pipes... /usr/bin/dd bs=4096 count=1
 +checking for mt... mt
 +checking if mt is a manifest tool... no
 +checking how to run the C preprocessor... gcc -E
 +checking for ANSI C header files... yes
 +checking for sys/types.h... yes
 +checking for sys/stat.h... yes
 +checking for stdlib.h... yes
 +checking for string.h... yes
 +checking for memory.h... yes
 +checking for strings.h... yes
 +checking for inttypes.h... yes
 +checking for stdint.h... yes
 +checking for unistd.h... yes
 +checking for dlfcn.h... yes
 +checking for objdir... .libs
 +checking if gcc supports -fno-rtti -fno-exceptions... no
 +checking for gcc option to produce PIC... -fPIC -DPIC
 +checking if gcc PIC flag -fPIC -DPIC works... yes
 +checking if gcc static flag -static works... yes
 +checking if gcc supports -c -o file.o... yes
 +checking if gcc supports -c -o file.o... (cached) yes
 +checking whether the gcc linker (/usr/bin/ld) supports shared libraries... yes
 +checking whether -lc should be explicitly linked in... no
 +checking dynamic linker characteristics... GNU/Linux ld.so
 +checking how to hardcode library paths into programs... immediate
 +checking whether stripping libraries is possible... yes
 +checking if libtool supports shared libraries... yes
 +checking whether to build shared libraries... yes
 +checking whether to build static libraries... yes
 +checking how to run the C++ preprocessor... g++ -E
 +checking for ld used by g++... /usr/bin/ld
 +checking if the linker (/usr/bin/ld) is GNU ld... yes
 +checking whether the g++ linker (/usr/bin/ld) supports shared libraries... yes
 +checking for g++ option to produce PIC... -fPIC -DPIC
 +checking if g++ PIC flag -fPIC -DPIC works... yes
 +checking if g++ static flag -static works... yes
 +checking if g++ supports -c -o file.o... yes
 +checking if g++ supports -c -o file.o... (cached) yes
 +checking whether the g++ linker (/usr/bin/ld) supports shared libraries... yes
 +checking dynamic linker characteristics... (cached) GNU/Linux ld.so
 +checking how to hardcode library paths into programs... immediate
 +checking for doxygen... /usr/bin/doxygen
 +checking for perl... /usr/bin/perl
 +checking for dot... /usr/bin/dot
 +checking for latex... no
 +configure: WARNING: latex not found - will not generate doxygen PostScript documentation
 +checking for makeindex... no
 +checking for dvips... no
 +checking for egrep... /usr/bin/egrep
 +checking for pdflatex... no
 +configure: WARNING: pdflatex not found - will not generate doxygen PDF documentation
 +checking for makeindex... no
 +checking for egrep... (cached) /usr/bin/egrep
 +DX_FLAG_doc=1
 +DX_FLAG_dot=1
 +DX_FLAG_man=0
 +DX_FLAG_html=1
 +DX_FLAG_chm=0
 +DX_FLAG_chi=0
 +DX_FLAG_rtf=0
 +DX_FLAG_xml=0
 +DX_FLAG_pdf=0
 +DX_FLAG_ps=0
 +DX_ENV= SRCDIR='.' PROJECT='libusbgx' DOCDIR='doxygen-doc' VERSION='0.2.0' PERL_PATH='/usr/bin/perl' HAVE_DOT='YES' DOT_PATH='/usr/bin' GENERATE_MAN='NO' GENERATE_RTF='NO' GENERATE_XML='NO' GENERATE_HTMLHELP='NO' GENERATE_CHI='NO' GENERATE_HTML='YES' GENERATE_LATEX='NO'
 +checking that generated files are newer than configure... done
 +configure: creating ./config.status
 +config.status: creating Makefile
 +config.status: creating src/Makefile
 +config.status: creating examples/Makefile
 +config.status: creating include/usbg/usbg_version.h
 +config.status: creating libusbgx.pc
 +config.status: creating doxygen.cfg
 +config.status: creating LibUsbgxConfig.cmake
 +config.status: executing depfiles commands
 +config.status: executing libtool commands
 +make[1]: warning: jobserver unavailable: using -j1.  Add '+' to parent make rule.
 +make[1]: Entering directory '/usr/local/src/libusbgx'
 +Making all in src
 +....
 + *** Building libiio ***
 +-- cmake version: 3.18.4
 +-- The C compiler identification is GNU 10.2.1
 +-- Detecting C compiler ABI info
 +-- Detecting C compiler ABI info - done
 +-- Check for working C compiler: /usr/bin/cc - skipped
 +-- Detecting C compile features
 +-- Detecting C compile features - done
 +-- Performing Test HAS_WPEDANTIC
 +-- Performing Test HAS_WPEDANTIC - Success
 +-- Performing Test HAS_WSHADOW
 +-- Performing Test HAS_WSHADOW - Success
 +-- Looking for strdup
 +-- Looking for strdup - found
 +-- Looking for strndup
 +-- Looking for strndup - found
 +-- Looking for strerror_r
 +-- Looking for strerror_r - found
 +-- Looking for newlocale
 +-- Looking for newlocale - found
 +-- Looking for pthread_setname_np
 +-- Looking for pthread_setname_np - found
 +-- Looking for in6addr_any
 +-- Looking for in6addr_any - found
 +-- Looking for libusb-1.0 : Found
 +-- Looking for libusb_get_version
 +-- Looking for libusb_get_version - found
 +-- Found Git: /usr/bin/git (found version "2.30.2")
 +-- Looking for libserialport : Found
 +-- Building with Network back end support
 +-- Performing Test HAS_O_TMPFILE
 +-- Performing Test HAS_O_TMPFILE - Success
 +-- Performing Test WITH_NETWORK_EVENTFD
 +-- Performing Test WITH_NETWORK_EVENTFD - Success
 +-- Performing Test HAS_PIPE2
 +-- Performing Test HAS_PIPE2 - Success
 +-- Building with Avahi, a DNS SD implementation
 +-- Found LibXml2: /usr/lib/arm-linux-gnueabihf/libxml2.so (found version "2.9.10")
 +-- Looking for CDK_CSTRING2
 +-- Looking for CDK_CSTRING2 - found
 +-- bin= lib= inc=
 +-- Found Python: /usr/bin/python3.9 (found version "3.9.2") found components: Interpreter
 +-- new
 +-- Python_EXECUTABLE /usr/bin/python3.9
 +-- Found Python: Building bindings
 +-- Found BISON: /usr/bin/bison (found version "3.7.5")
 +-- Found FLEX: /usr/bin/flex (found version "2.6.4")
 +-- Looking for sys/types.h
 +-- Looking for sys/types.h - found
 +-- Looking for stdint.h
 +-- Looking for stdint.h - found
 +-- Looking for stddef.h
 +-- Looking for stddef.h - found
 +-- Check size of struct usb_functionfs_descs_head_v2
 +-- Check size of struct usb_functionfs_descs_head_v2 - done
 +-- Configuring done
 +-- Generating done
 +-- Build files have been written to: /usr/local/src/libiio/build
 +....
 +Building libiio target  finished Successfully
 + *** Updating libad9361-iio BRANCH origin/2019_R2 ***
 +Previous HEAD position was fd44358 Fix flags for FMComms5 python tests
 +HEAD is now at be7eb02 Update HW test to use environmental variables
 +remote: Enumerating objects: 3, done.
 +remote: Counting objects: 100% (3/3), done.
 +remote: Total 3 (delta 2), reused 3 (delta 2), pack-reused 0
 +Unpacking objects: 100% (3/3), 601 bytes | 120.00 KiB/s, done.
 +From https://github.com/analogdevicesinc/libad9361-iio
 + * [new branch]      2021_R1           -> origin/2021_R1
 + * [new branch]      staging/ci-update -> origin/staging/ci-update
 + *** Building libad9361-iio ***
 +-- The C compiler identification is GNU 10.2.1
 +-- Detecting C compiler ABI info
 +-- Detecting C compiler ABI info - done
 +-- Check for working C compiler: /usr/bin/cc - skipped
 +-- Detecting C compile features
 +-- Detecting C compile features - done
 +-- Found Git: /usr/bin/git (found version "2.30.2")
 +-- Found Doxygen: /usr/bin/doxygen (found version "1.9.1") found components: doxygen dot
 +-- Using default dependencies for packaging
 +-- Package dependencies: libc6-dev (>= 2.19)
 +-- Configuring done
 +-- Generating done
 +-- Build files have been written to: /usr/local/src/libad9361-iio/build
 +....
 +Building libad9361-iio target  finished Successfully
 +*** Updating iio-oscilloscope BRANCH origin/2019_R2 ***
 +Previous HEAD position was fb9ec84 plugins: adrv9002: improve temperature reporting
 +HEAD is now at 177dd7d filters: add adrv9002 new API profiles
 +rm -rf /usr/local/lib/osc /usr/local/share/osc /usr/local/bin/osc /usr/local/lib/libosc.so
 +xdg-icon-resource uninstall --noupdate --size 16 adi-osc
 +xdg-icon-resource uninstall --noupdate --size 32 adi-osc
 +xdg-icon-resource uninstall --noupdate --size 64 adi-osc
 +xdg-icon-resource uninstall --noupdate --size 128 adi-osc
 +....
 +
 +</code>
 +</hidden>
 +
 +=== ZYNQ Processing System / FPGA Boot Files ===
 + 
 +The default ADI ZYNQ image supports a variety of ZYNQ boards and reference designs.
 +In order to keep those boot files up to date. There is a another script that downloads the latest builds from the ADI Wiki page and installs them onto the FAT32 partition on the SD Card. The only requirement is a healthy image and active Internet connection.
 +
 +In order to update all ADI tools, simply call the **adi_update_boot.sh** script as shown below:
 +
 +<WRAP box bggreen><wrap info>This specifies any shell prompt running on the target</wrap>
 +<xterm>
 +root@analog:~# adi_update_boot.sh 
 +</xterm></WRAP>
 +
 +<hidden **Complete Update Boot Log** (Click to expand)>
 +<code>
 +
 +Verifying if ./adi_update_boot.sh is up to date...
 +HEAD is now at 425510e adi_update_boot.sh: Replace windows carrige/return
 +./adi_update_boot.sh is up to date, continuing...
 +Check latest available version...
 +--2022-05-06 14:53:34--  http://swdownloads.analog.com/cse/boot_partition_files/2019_r2/latest_boot.txt
 +Resolving swdownloads.analog.com (swdownloads.analog.com)... 104.103.158.171
 +Connecting to swdownloads.analog.com (swdownloads.analog.com)|104.103.158.171|:80... connected.
 +HTTP request sent, awaiting response... 301 Moved Permanently
 +Location: https://swdownloads.analog.com/cse/boot_partition_files/2019_r2/latest_boot.txt [following]
 +--2022-05-06 14:53:34--  https://swdownloads.analog.com/cse/boot_partition_files/2019_r2/latest_boot.txt
 +Connecting to swdownloads.analog.com (swdownloads.analog.com)|104.103.158.171|:443... connected.
 +HTTP request sent, awaiting response... 200 OK
 +Length: 160 [text/plain]
 +Saving to: ‘latest_boot.txt’
 +latest_boot.txt     100%[===================>    160  --.-KB/   in 0s
 +2022-05-06 14:53:35 (26.0 MB/s) - ‘latest_boot.txt’ saved [160/160]
 +Latest version available: 2021_07_27
 +Release: 2019_r2
 +Current version detected: 2022_04_14
 +Release: master
 +Warning! You want to update boot files from a different release: 2019_r2 (current release: master)
 +In this case there may appear compatibility issues with root file system.
 +Are you sure you want to continue?(y/n) Y
 +Start downloading latest_boot_partition.tar.gz ...
 +--2022-05-06 14:54:41--  https://swdownloads.analog.com/cse/boot_partition_files/master/latest_boot_partition.tar.gz
 +Resolving swdownloads.analog.com (swdownloads.analog.com)... 104.103.158.171
 +Connecting to swdownloads.analog.com (swdownloads.analog.com)|104.103.158.171|:443... connected.
 +HTTP request sent, awaiting response... 200 OK
 +Length: 515989728 (492M) [application/x-gzip]
 +Saving to: ‘latest_boot_partition.tar.gz’
 +...
 +
 +</code>
 +</hidden>
 +
 +<WRAP info round>
 +It may happen that you have to copy manually the boot files to complete the update.
 +\\ To do so, plug the SD card into your computer, and:
 +  * Copy ${CONFIG}/devicetree.dtb to devicetree.dtb on the root of the SD card,
 +  * Copy ${CONFIG}/BOOT.BIN to BOOT.BIN on the root of the SD card,
 +  * Copy common/uImage to uImage on the root of the SD card.
 +(Replace ${CONFIG} with the config name that applies in your case, e.g. "zynq-zed-adv7511-ad9361" for a FMCOMMS2/3 on a ZedBoard.)
 +</WRAP>
 +
 +{{page>resources:eval:user-guides:ad-fmcomms2-ebz:software:linux:zynq_tips_tricks#Customizing the device tree on the target&firstseconly&noeditbtn}}
 +
 +==== Accessing Files ====
 +
 +On the embedded Linux target there is [[wp>Samba_(software)]] service running.
 +Allowing you to access (Add/map Network Location/Drive) everything under /media on the target. 
 +
 +=== From Windows host ===
 +
 +The only thing you need to do is:
 +  - Plug in a network cable (network with DHCP)
 +  - Open a serial remote console or on the target itself type ''ifconfig''
 +  - Now you know your IP address (inet addr:XXX.XXX.XXX.XXX)
 +  - On Windows Internet Explorer type following URL \\XXX.XXX.XXX.XXX or use the Map Network Drive functionality the path is: \\XXX.XXX.XXX.XXX\ADI Linux Image
 +
 +By default, only read access is possible. If you want to be able to copy files onto the image over the network share, the permissions need to be changed first. This can be done by running the following command on the board:
 +<WRAP box bggreen><wrap info>Modify network share permissions</wrap>
 +<xterm>
 +sudo chmod 777 /media/*
 +</xterm>
 +</WRAP>
 +
 +
 +Be aware that with this change anybody on your network will now be able to write to the image.
 +
 +=== From Linux host ===
 +
 +Use rsync, or ssh, or scp, or just plug in the SD card to your Linux machine, and mount the ext4 file system. If you really need help - ask.
 +
 +===== Preparing the Image: Raspberry Pi ======
 +
 +
 +
 +==== Hardware Configuration ====
 +
 +The system will likely need to be configured according to what devices are connected to the platform board (for example, an AD7124-8 ADC connected to a Raspberry Pi) The most straightforward way to do this for Raspberry Pi is to edit the config.txt file, which is located in the boot partition. This is a FAT partition that is accessible in Linux, Windows, or MacOS. Any text editor can be used, including the Mousepad editor that is included with Kuiper Linux. Using the Raspberry Pi itself also avoids problems with USB encryption, often present on company computers. Connect a keyboard, mouse, and monitor to the Raspberry Pi and connect power. The ADI Kuiper Linux desktop should appear.\\
 +Before editing, it is a good idea to make a backup of the original file, just in case something goes wrong (which it won't, but still...) Open a terminal and enter the following command (noting that "analog@analog:~ $" is the prompt, and does not need to be typed):
 +
 +<code>
 +analog@analog:~ $ sudo cp /boot/config.txt /boot/config.backup
 +analog@analog:~ $ sudo mousepad /boot/config.txt
 +</code>
 +
 +This will bring up the text editor. At this point, the appropriate device tree overlays can be included, for example, add the following line to enable the ADXL345 3-axis SPI accelerometer, noting that the lirc-rpi lines are shown for reference, and any line beginning with "#" is ignored:
 +
 +<code>
 +# Uncomment this to enable the lirc-rpi module
 +#dtoverlay=lirc-rpi
 +dtoverlay=rpi-adxl345
 +</code>
 +
 +This usually represents a default overlay, with SPI port and interrupt mapping defined in the kernel source. A number of other overlays are included with Kuiper Linux, for individual devices and various reference designs. Alternative configurations can be created by cloning and editing the appropriate dts file.
 +
 +After editing config.txt, reboot for changes to take effect.
 +
 +If any IIO devices are attached and were enabled in config.txt, run iio_info to verify that the drivers loaded properly. Example:
 +
 +<code>
 +analog@analog:~ $ iio_info
 +Library version: 0.21 (git tag: 1c0781b)
 +Compiled with backends: local xml ip
 +IIO context created with local backend.
 +Backend version: 0.21 (git tag: 1c0781b)
 +Backend description string: Linux analog 4.19.86-v7l+ #3 SMP Tue Sep 1 19:43:06 UTC 2020 armv7l
 +IIO context has 2 attributes:
 + local,kernel: 4.19.86-v7l+
 + uri: local:
 +IIO context has 5 devices:
 + iio:device0: ad7127-8 (buffer capable)
 + 8 channels found:
 + voltage0-voltage1:  (input, index: 0, format: be:u24/32>>0)
 + 6 channel-specific attributes found:
 + attr  0: filter_low_pass_3db_frequency value: 3
 + attr  1: offset value: 0
 +...
 +...
 +</code>
 +
 +===== License =====
 +
 +Copyright (c) 2022 Raspberry Pi (Trading) Ltd.
 +
 +All rights reserved.
 +
 +Redistribution and use in source and binary forms, with or without modification, are permitted provided that the following conditions are met:
 +
 +1. Redistributions of source code must retain the above copyright notice, this list of conditions and the following disclaimer.
 +
 +2. Redistributions in binary form must reproduce the above copyright notice, this list of conditions and the following disclaimer in the documentation and/or other materials provided with the distribution.
 +
 +3. Neither the name of the copyright holder nor the names of its contributors may be used to endorse or promote products derived from this software without specific prior written permission.
 +
 +THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
resources/tools-software/linux-software/embedded_arm_images.txt · Last modified: 20 Sep 2022 09:17 by Michael Hennerich