Wiki

Differences

This shows you the differences between two versions of the page.

Link to this comparison view

Both sides previous revisionPrevious revision
Next revision
Previous revision
resources:eval:user-guides:adrv9009-zu11eg:quick-start-guide [25 Jun 2019 16:06] – [Testing] Michael Hennerichresources:eval:user-guides:adrv9009-zu11eg:quick-start-guide [30 Jan 2023 01:58] (current) Joyce Velasco
Line 6: Line 6:
  
   * [[adi>ADRV2CRR-FMC]]   * [[adi>ADRV2CRR-FMC]]
 +
 +If you want to use it with FMCOMMS8, please refer to [[:resources:eval:user-guides:ad-fmcomms8-ebz:quick-start-guide|FMCOMMS8 Quick Start Guide]]
  
 Instructions on how to build the ZynqMP / MPSoC Linux kernel and devicetrees from source can be found here: Instructions on how to build the ZynqMP / MPSoC Linux kernel and devicetrees from source can be found here:
Line 15: Line 17:
  
  
-  * SD Card 8GB imaged using the instructions here: [[resources:tools-software:linux-software:zynq_images|Zynq & Altera SoC Quick Start Guide]]+  * SD Card 16GB image using the instructions here: [[:resources:tools-software:linux-software:kuiper-linux|Zynq & Altera SoC Quick Start Guide]]
   * A UART terminal (Putty/Tera Term/Minicom, etc.), Baud rate 115200 (8N1).   * A UART terminal (Putty/Tera Term/Minicom, etc.), Baud rate 115200 (8N1).
  
 +Please use the [[:resources:tools-software:linux-software:kuiper-linux | Please use the Image 28 July 2021 release candidate (2019_R2) or later]]
  
-===== Pre-release SD Card Creation ===== +<hidden Older Bootfiles (Click to expand)> 
- +  * [[http://swdownloads.analog.com/cse/share/ADRV9009-ZU11EG-14-06-2019.zip|ADRV9009-ZU11EG-14-06-2019.zip HW Rev.A]] 
-The project will be release as part of 2019_r1 release.Before then, the SD image for 2018_r2 can be used.  +  * [[http://swdownloads.analog.com/cse/share/ADRV9009-ZU11EG-RevB-10-09-2019.zip|ADRV9009-ZU11EG-RevB-10-09-2019.zip HW Rev.B]] 
- +  * [[http://swdownloads.analog.com/cse/share/ADRV9009-ZU11EG-RevB-07-08-2020.zip|ADRV9009-ZU11EG-RevB-07-08-2020.zip HW Rev.B]] 
-===== Writing the SD Card ===== +</hidden>
- +
-Details on how to write the SD card can be found here: [[resources:tools-software:linux-software:zynq_images|Zynq & Altera SoC Quick Start Guide]] including the 2018_R2 image. +
- +
-===== ADRV9009-ZU11EG Specific Boot Files ===== +
- +
-After writing the image, on the boot partition ADRV9009-ZU11EG specific files can be added from  +
- +
-http://swdownloads.analog.com/cse/share/ADRV9009-ZU11EG-14-06-2019.zip +
- +
  
 ===== Required Hardware ===== ===== Required Hardware =====
-  * ADRV9009-ZU11EG SoM board.+  * ADRV9009-ZU11EG SoM board
   * ADRV2CRR-FMC carrier board   * ADRV2CRR-FMC carrier board
   * Micro-USB cable   * Micro-USB cable
Line 60: Line 53:
   * Insert SD card into socket ''P15''.   * Insert SD card into socket ''P15''.
   * Configure ADRV2CRR-FMC for SD BOOT using ''S13'', ''S14'', ''S15'', ''S16''. See picture below.   * Configure ADRV2CRR-FMC for SD BOOT using ''S13'', ''S14'', ''S15'', ''S16''. See picture below.
-{{ :resources:eval:user-guides:adrv9009-zu11eg:adrv9009-zu11g-bmode-select-reva.png?400 |}} +{{ :resources:eval:user-guides:adrv9009-zu11eg:ADRV2CRR Rev A and B Sw_Jmp settings.JPG?800 |}} 
   * Configure ''ADRV2CRR-FMC'' for SD BOOT from carrier using ''S9''. See picture below.   * Configure ''ADRV2CRR-FMC'' for SD BOOT from carrier using ''S9''. See picture below.
 {{ :resources:eval:user-guides:adrv9009-zu11eg:adrv9009-zu11g-sd-card-select.png?400 |}}  {{ :resources:eval:user-guides:adrv9009-zu11eg:adrv9009-zu11g-sd-card-select.png?400 |}} 
Line 74: Line 67:
 <code> <code>
 Xilinx Zynq MP First Stage Boot Loader  Xilinx Zynq MP First Stage Boot Loader 
-Release 2018.  Jun 14 2019  -  10:06:14+Release 2021.  Aug  3 2022  -  11:00:01
  
  
-U-Boot 2018.01-21435-g099c929 (May 28 2019 08:42:11 +0000) Analog Devices Inc. ADR9009-ZU11EG+U-Boot 2018.01-21439-gd244ce5 (Mar 28 2021 13:30:43 +0100) Analog Devices Inc. ADR9009-ZU11EG, Build: jenkins-development-build_uboot-3
  
 I2C:   ready I2C:   ready
Line 94: Line 87:
 I2C EEPROM MAC address read failed I2C EEPROM MAC address read failed
  
-Warning: ethernet@ff0b0000 (eth1) using random MAC address - da:3c:6d:34:48:50+Warning: ethernet@ff0b0000 (eth1) using random MAC address - c6:bd:2a:d9:e2:01
 eth1: ethernet@ff0b0000ZYNQ GEM: ff0e0000, phyaddr 0, interface rgmii-id eth1: ethernet@ff0b0000ZYNQ GEM: ff0e0000, phyaddr 0, interface rgmii-id
 I2C EEPROM MAC address read failed I2C EEPROM MAC address read failed
  
-Warning: ethernet@ff0e0000 (eth0) using random MAC address - 1e:d5:da:08:37:fe+Warning: ethernet@ff0e0000 (eth0) using random MAC address - aa:cd:e8:38:80:de
 , eth0: ethernet@ff0e0000 , eth0: ethernet@ff0e0000
 Hit any key to stop autoboot:  Hit any key to stop autoboot: 
Line 104: Line 97:
 mmc0 is current device mmc0 is current device
 Device: sdhci@ff170000 Device: sdhci@ff170000
-Manufacturer ID: 3 +Manufacturer ID: 41 
-OEM: 5344 +OEM: 3432 
-Name: SU08G +Name: SD16G 
 Tran Speed: 50000000 Tran Speed: 50000000
 Rd Block Len: 512 Rd Block Len: 512
 SD version 3.0 SD version 3.0
 High Capacity: Yes High Capacity: Yes
-Capacity: 7.GiB+Capacity: 14.GiB
 Bus Width: 4-bit Bus Width: 4-bit
 Erase Group Size: 512 Bytes Erase Group Size: 512 Bytes
 reading uEnv.txt reading uEnv.txt
-376 bytes read in 16 ms (22.KiB/s)+407 bytes read in 21 ms (18.KiB/s)
 Loaded environment from uEnv.txt Loaded environment from uEnv.txt
 Importing environment from SD ... Importing environment from SD ...
Line 121: Line 114:
 Copying Linux from SD to RAM... Copying Linux from SD to RAM...
 ** No boot file defined ** ** No boot file defined **
 +SF: Detected n25q512a with page size 512 Bytes, erase size 128 KiB, total 128 MiB
 +device 0 offset 0x2000000, size 0x20000
 +SF: 131072 bytes @ 0x2000000 Read: OK
 reading system.dtb reading system.dtb
-62255 bytes read in 19 ms (3.MiB/s)+79158 bytes read in 32 ms (2.MiB/s)
 reading Image reading Image
-16228864 bytes read in 1045 ms (14.MiB/s)+32514560 bytes read in 2084 ms (14.MiB/s)
 ## Flattened Device Tree blob at 04000000 ## Flattened Device Tree blob at 04000000
    Booting using the fdt blob at 0x4000000    Booting using the fdt blob at 0x4000000
-   Loading Device Tree to 000000000ffed000, end 000000000ffff32e ... OK+   Loading Device Tree to 000000000ffe9000, end 000000000ffff535 ... OK
  
 Starting kernel ... Starting kernel ...
  
-[    0.000000] Booting Linux on physical CPU 0x0 +[    0.000000] Booting Linux on physical CPU 0x0000000000 [0x410fd034] 
-[    0.000000] Linux version 4.14.0-12222-g6598c88 (michael@mhenneri-D06) (gcc version 6.2.1 20161114 (Linaro GCC Snapshot 6.2-2016.11)) #2783 SMP Fri Jun 14 15:35:26 CEST 2019 +[    0.000000] Linux version 5.10.0-98248-g1bbe32fa5182 (jenkins@romlxbuild1.adlk.analog.com) (aarch64-xilinx-linux-gcc.real (GCC) 10.2.0, 2 
-[    0.000000] Boot CPU: AArch64 Processor [410fd034] +[    0.000000] Machine model: Analog Devices ADRV9009-ZU11EG Rev.B
-[    0.000000] Machine model: Analog Devices ADRV9009-ZU11EG+
 [    0.000000] earlycon: cdns0 at MMIO 0x00000000ff010000 (options '115200n8') [    0.000000] earlycon: cdns0 at MMIO 0x00000000ff010000 (options '115200n8')
-[    0.000000] bootconsole [cdns0] enabled +[    0.000000] printk: bootconsole [cdns0] enabled
-[    0.000000] efi: Getting EFI parameters from FDT:+
 [    0.000000] efi: UEFI not found. [    0.000000] efi: UEFI not found.
 [    0.000000] cma: Reserved 256 MiB at 0x0000000070000000 [    0.000000] cma: Reserved 256 MiB at 0x0000000070000000
 +[    0.000000] Zone ranges:
 +[    0.000000]   DMA      [mem 0x0000000000000000-0x000000003fffffff]
 +[    0.000000]   DMA32    [mem 0x0000000040000000-0x00000000ffffffff]
 +[    0.000000]   Normal   [mem 0x0000000100000000-0x000000087fffffff]
 +[    0.000000] Movable zone start for each node
 +[    0.000000] Early memory node ranges
 +[    0.000000]   node   0: [mem 0x0000000000000000-0x000000007fffffff]
 +[    0.000000]   node   0: [mem 0x0000000800000000-0x000000087fffffff]
 +[    0.000000] Initmem setup node 0 [mem 0x0000000000000000-0x000000087fffffff]
 [    0.000000] psci: probing for conduit method from DT. [    0.000000] psci: probing for conduit method from DT.
 [    0.000000] psci: PSCIv1.1 detected in firmware. [    0.000000] psci: PSCIv1.1 detected in firmware.
 [    0.000000] psci: Using standard PSCI v0.2 function IDs [    0.000000] psci: Using standard PSCI v0.2 function IDs
 [    0.000000] psci: MIGRATE_INFO_TYPE not supported. [    0.000000] psci: MIGRATE_INFO_TYPE not supported.
-[    0.000000] randomfast init done +[    0.000000] psciSMC Calling Convention v1.2 
-[    0.000000] percpu: Embedded 21 pages/cpu @ffffffc87ff56000 s45080 r8192 d32744 u86016+[    0.000000] percpu: Embedded 22 pages/cpu s49496 r8192 d32424 u90112
 [    0.000000] Detected VIPT I-cache on CPU0 [    0.000000] Detected VIPT I-cache on CPU0
-[    0.000000] CPU features: enabling workaround for ARM erratum 845719+[    0.000000] CPU features: detected: ARM erratum 845719
 [    0.000000] Built 1 zonelists, mobility grouping on.  Total pages: 1034240 [    0.000000] Built 1 zonelists, mobility grouping on.  Total pages: 1034240
-[    0.000000] Kernel command line: console=ttyPS0,115200 root=/dev/mmcblk0p2 rw earlycon rootfstype=ext4 rootwait root=/dev/mmcblk0p2 rw rootwait +[    0.000000] Kernel command line: console=ttyPS0,115200 root=/dev/mmcblk0p2 rw earlycon rootfstype=ext4 rootwait clk_ignore_unused cpuidl1 
-[    0.000000] PID hash table entries: 4096 (order: 332768 bytes) +[    0.000000] Dentry cache hash table entries: 524288 (order: 104194304 bytes, linear
-[    0.000000] Dentry cache hash table entries: 524288 (order: 104194304 bytes) +[    0.000000] Inode-cache hash table entries: 262144 (order: 92097152 bytes, linear
-[    0.000000] Inode-cache hash table entries262144 (order92097152 bytes) +[    0.000000] mem auto-initstack:offheap alloc:off, heap free:off 
-[    0.000000] software IO TLB [mem 0x6bfff000-0x6ffff000] (64MB) mapped at [ffffffc06bfff000-ffffffc06fffefff] +[    0.000000] software IO TLB: mapped [mem 0x000000003bfff000-0x000000003ffff000] (64MB) 
-[    0.000000] Memory: 3783916K/4194304K available (10108K kernel code, 744K rwdata, 4432K rodata, 512K init, 2156K bss, 148244K reserved, 262144K cma-reserved) +[    0.000000] Memory: 3761492K/4194304K available (15488K kernel code, 1672K rwdata, 11952K rodata, 2496K init, 507K bss, 170668K reserved) 
-[    0.000000] Virtual kernel memory layout: +[    0.000000] rcu: Hierarchical RCU implementation. 
-[    0.000000]     modules : 0xffffff8000000000 - 0xffffff8008000000     128 MB) +[    0.000000] rcu:     RCU event tracing is enabled. 
-[    0.000000]     vmalloc : 0xffffff8008000000 - 0xffffffbebfff0000     250 GB) +[    0.000000] rcu:     RCU restricting CPUs from NR_CPUS=8 to nr_cpu_ids=4. 
-[    0.000000]       .text : 0xffffff8008080000 - 0xffffff8008a60000   ( 10112 KB) +[    0.000000] rcu: RCU calculated value of scheduler-enlistment delay is 25 jiffies. 
-[    0.000000]     .rodata : 0xffffff8008a60000 - 0xffffff8008ec0000    4480 KB) +[    0.000000] rcu: Adjusting geometry for rcu_fanout_leaf=16, nr_cpu_ids=4
-[    0.000000]       .init : 0xffffff8008ec0000 - 0xffffff8008f40000     512 KB) +
-[    0.000000]       .data : 0xffffff8008f40000 - 0xffffff8008ffa200     745 KB) +
-[    0.000000]        .bss : 0xffffff8008ffa200 - 0xffffff8009215430    2157 KB) +
-[    0.000000]     fixed   : 0xffffffbefe7fd000 - 0xffffffbefec00000    4108 KB) +
-[    0.000000]     PCI I/O : 0xffffffbefee00000 - 0xffffffbeffe00000      16 MB) +
-[    0.000000]     vmemmap : 0xffffffbf00000000 - 0xffffffc000000000       4 GB maximum) +
-[    0.000000]               0xffffffbf00000000 - 0xffffffbf1dc00000     476 MB actual) +
-[    0.000000]     memory  : 0xffffffc000000000 - 0xffffffc880000000   ( 34816 MB) +
-[    0.000000] Hierarchical RCU implementation. +
-[    0.000000]  RCU event tracing is enabled. +
-[    0.000000]  RCU restricting CPUs from NR_CPUS=8 to nr_cpu_ids=4. +
-[    0.000000] RCU: Adjusting geometry for rcu_fanout_leaf=16, nr_cpu_ids=4+
 [    0.000000] NR_IRQS: 64, nr_irqs: 64, preallocated irqs: 0 [    0.000000] NR_IRQS: 64, nr_irqs: 64, preallocated irqs: 0
 [    0.000000] GIC: Adjusting CPU interface base to 0x00000000f902f000 [    0.000000] GIC: Adjusting CPU interface base to 0x00000000f902f000
 [    0.000000] GIC: Using split EOI/Deactivate mode [    0.000000] GIC: Using split EOI/Deactivate mode
 +[    0.000000] random: get_random_bytes called from start_kernel+0x31c/0x550 with crng_init=0
 [    0.000000] arch_timer: cp15 timer(s) running at 33.33MHz (phys). [    0.000000] arch_timer: cp15 timer(s) running at 33.33MHz (phys).
-[    0.000000] clocksource: arch_sys_counter: mask: 0xffffffffffffff max_cycles: 0x7b0074340, max_idle_ns: 440795202884 ns +[    0.000000] clocksource: arch_sys_counter: mask: 0xffffffffffffff max_cycles: 0x7b00c47c0, max_idle_ns: 440795202120 ns 
-[    0.000003] sched_clock: 56 bits at 33MHz, resolution 30ns, wraps every 2199023255543ns +[    0.000003] sched_clock: 56 bits at 33MHz, resolution 30ns, wraps every 2199023255541ns 
-[    0.008323] Console: colour dummy device 80x25 +[    0.008361] Console: colour dummy device 80x25 
-[    0.012388] Calibrating delay loop (skipped), value calculated using timer frequency.. 66.66 BogoMIPS (lpj=133332+[    0.012394] Calibrating delay loop (skipped), value calculated using timer frequency.. 66.66 BogoMIPS (lpj=133333
-[    0.022669] pid_max: default: 32768 minimum: 301 +[    0.022667] pid_max: default: 32768 minimum: 301 
-[    0.027357] Mount-cache hash table entries: 8192 (order: 4, 65536 bytes) +[    0.027374] Mount-cache hash table entries: 8192 (order: 4, 65536 bytes, linear
-[    0.033923] Mountpoint-cache hash table entries: 8192 (order: 4, 65536 bytes) +[    0.034613] Mountpoint-cache hash table entries: 8192 (order: 4, 65536 bytes, linear
-[    0.041603] ASID allocator initialised with 65536 entries +[    0.043179rcu: Hierarchical SRCU implementation. 
-[    0.046417] Hierarchical SRCU implementation. +[    0.047369] EFI services will not be available. 
-[    0.051074] EFI services will not be available. +[    0.051756] smp: Bringing up secondary CPUs ... 
-[    0.055220] zynqmp_plat_init Platform Management API v1.1 +[    0.056463] Detected VIPT I-cache on CPU1 
-[    0.060557] zynqmp_plat_init Trustzone version v1.0 +[    0.056501] CPU1: Booted secondary processor 0x0000000001 [0x410fd034
-[    0.065496] smp: Bringing up secondary CPUs ... +[    0.056844] Detected VIPT I-cache on CPU2 
-[    0.070160] Detected VIPT I-cache on CPU1 +[    0.056866] CPU2: Booted secondary processor 0x0000000002 [0x410fd034
-[    0.070191] CPU1: Booted secondary processor [410fd034+[    0.057182] Detected VIPT I-cache on CPU3 
-[    0.070481] Detected VIPT I-cache on CPU2 +[    0.057203] CPU3: Booted secondary processor 0x0000000003 [0x410fd034
-[    0.070501] CPU2: Booted secondary processor [410fd034+[    0.057245] smp: Brought up 1 node, 4 CPUs 
-[    0.070781] Detected VIPT I-cache on CPU3 +[    0.091606] SMP: Total of 4 processors activated. 
-[    0.070801] CPU3: Booted secondary processor [410fd034+[    0.096278] CPU features: detected: 32-bit EL0 Support 
-[    0.070847] smp: Brought up 1 node, 4 CPUs +[    0.101382] CPU features: detected: CRC32 instructions 
-[    0.101470] SMP: Total of 4 processors activated. +[    0.106518] CPU: All CPU(s) started at EL2 
-[    0.106143] CPU features: detected feature: 32-bit EL0 Support +[    0.110562] alternatives: patching kernel code 
-[    0.111941] CPU: All CPU(s) started at EL2 +[    0.115944] devtmpfs: initialized 
-[    0.116012] alternatives: patching kernel code +[    0.125323] Registered cp15_barrier emulation handler 
-[    0.121177] devtmpfs: initialized +[    0.125372] Registered setend emulation handler 
-[    0.130834] clocksource: jiffies: mask: 0xffffffff max_cycles: 0xffffffff, max_idle_ns: 7645041785100000 ns +[    0.129346] clocksource: jiffies: mask: 0xffffffff max_cycles: 0xffffffff, max_idle_ns: 7645041785100000 ns 
-[    0.134930] futex hash table entries: 1024 (order: 5131072 bytes) +[    0.138935] futex hash table entries: 1024 (order: 465536 bytes, linear
-[    0.147153xormeasuring software checksum speed +[    0.151744pinctrl coreinitialized pinctrl subsystem 
-[    0.185224   8regs      2047.000 MB/sec +[    0.152337NETRegistered protocol family 16 
-[    0.225258   8regs_prefetch 1825.000 MB/sec +[    0.156729DMApreallocated 512 KiB GFP_KERNEL pool for atomic allocations 
-[    0.265293   32regs     2516.000 MB/sec +[    0.162816DMApreallocated 512 KiB GFP_KERNEL|GFP_DMA pool for atomic allocations 
-[    0.305326   32regs_prefetch 2114.000 MB/sec +[    0.170539DMApreallocated 512 KiB GFP_KERNEL|GFP_DMA32 pool for atomic allocations 
-[    0.305355xorusing function: 32regs (2516.000 MB/sec+[    0.178353auditinitializing netlink subsys (disabled
-[    0.309731pinctrl coreinitialized pinctrl subsystem +[    0.183803audittype=2000 audit(0.116:1)state=initialized audit_enabled=res=
-[    0.315353] NETRegistered protocol family 16 +[    0.184104] hw-breakpoint: found 6 breakpoint and 4 watchpoint registers. 
-[    0.320133] cpuidleusing governor menu +[    0.198177ASID allocator initialised with 65536 entries 
-[    0.323806] vdso: 2 pages (code @ ffffff8008a66000, 1 data @ ffffff8008f44000) +[    0.222829HugeTLB registered 1.00 GiB page size, pre-allocated 0 pages 
-[    0.330523] hw-breakpoint: found 6 breakpoint and 4 watchpoint registers. +[    0.223888HugeTLB registered 32.0 MiB page size, pre-allocated 0 pages 
-[    0.337760DMA: preallocated 256 KiB pool for atomic allocations +[    0.230544HugeTLB registered 2.00 MiB page size, pre-allocated 0 pages 
-[    0.367510zynqmp-pinctrl pinctrl: zynqmp pinctrl initialized +[    0.237205] HugeTLB registered 64.0 KiB page size, pre-allocated 0 pages 
-[    0.368562reset_zynqmp reset-controller: Xilinx zynqmp reset driver probed +[    1.208657] DRBG: Continuing without Jitter RNG 
-[    0.375283ARM CCI_400_r1 PMU driver probed +[    1.284514] raid6: neonx8   gen()  2356 MB/s 
-[    0.385206] HugeTLB registered 2.00 MiB page size, pre-allocated 0 pages +[    1.352561] raid6: neonx8   xor()  1757 MB/s 
-[    0.455137] raid6: int64x1  gen()   363 MB/s +[    1.420621] raid6: neonx4   gen()  2400 MB/s 
-[    0.523090] raid6: int64x1  xor()   396 MB/s +[    1.488662] raid6: neonx4   xor()  1717 MB/s 
-[    0.591184] raid6: int64x2  gen()   602 MB/s +[    1.556727] raid6: neonx2   gen()  2274 MB/s 
-[    0.659196] raid6: int64x2  xor()   536 MB/s +[    1.624764] raid6: neonx2   xor()  1578 MB/s 
-[    0.727208] raid6: int64x4  gen()   877 MB/s +[    1.692829] raid6: neonx1   gen()  1948 MB/s 
-[    0.795263] raid6: int64x4  xor()   659 MB/s +[    1.760876] raid6: neonx1   xor()  1339 MB/s 
-[    0.863307] raid6: int64x8  gen()  1021 MB/s +[    1.828924] raid6: int64x8  gen()  1578 MB/s 
-[    0.931367] raid6: int64x8  xor()   664 MB/s +[    1.896976] raid6: int64x8  xor()   846 MB/s 
-[    0.999412] raid6: neonx1   gen()   644 MB/s +[    1.965032] raid6: int64x4  gen()  1754 MB/s 
-[    1.067476] raid6: neonx1   xor()   756 MB/s +[    2.033084] raid6: int64x4  xor()   897 MB/s 
-[    1.135536] raid6: neonx2   gen()  1039 MB/s +[    2.101150] raid6: int64x2  gen()  1535 MB/s 
-[    1.203602] raid6: neonx2   xor()  1073 MB/s +[    2.169190] raid6: int64x2  xor()   823 MB/s 
-[    1.271669] raid6: neonx4   gen()  1341 MB/s +[    2.237260] raid6: int64x1  gen()  1131 MB/s 
-[    1.339706] raid6: neonx4   xor()  1281 MB/s +[    2.305308] raid6: int64x1  xor()   567 MB/s 
-[    1.407775] raid6: neonx8   gen()  1410 MB/s +[    2.305344] raid6: using algorithm neonx4 gen() 2400 MB/s 
-[    1.475796] raid6: neonx8   xor()  1336 MB/s +[    2.309298] raid6: .... xor() 1717 MB/s, rmw enabled 
-[    1.475823] raid6: using algorithm neonx8 gen() 1410 MB/s +[    2.314233] raid6: using neon recovery algorithm 
-[    1.479791] raid6: .... xor() 1336 MB/s, rmw enabled +[    2.319145] iommu: Default domain type: Translated  
-[    1.484722] raid6: using neon recovery algorithm +[    2.323858] SCSI subsystem initialized 
-[    1.490628] SCSI subsystem initialized +[    2.327513] usbcore: registered new interface driver usbfs 
-[    1.493184] usbcore: registered new interface driver usbfs +[    2.332854] usbcore: registered new interface driver hub 
-[    1.498512] usbcore: registered new interface driver hub +[    2.338129] usbcore: registered new device driver usb 
-[    1.503784] usbcore: registered new device driver usb +[    2.343240mc: Linux media interface: v0.10 
-[    1.508827media: Linux media interface: v0.10 +[    2.347380videodev: Linux video capture interface: v2.00 
-[    1.513287] Linux video capture interface: v2.00 +[    2.352865EDAC MCVer: 3.0.0 
-[    1.517883pps_coreLinuxPPS API ver1 registered +[    2.356269zynqmp-ipi-mbox mailbox@ff990400Registered ZynqMP IPI mbox with TX/RX channels. 
-[    1.522783pps_coreSoftware ver5.3.Copyright 2005-2007 Rodolfo Giometti <giometti@linux.it+[    2.364886] jesd204: created con: id=0, topo=0, link=0, /fpga-axi@0/axi-jesd204-tx@84a30000 <-> /fpga-axi@0/axi-adrv9009-tx-hpc@84a04000 
-[    1.531875PTP clock support registered +[    2.376610] jesd204: created con: id=1, topo=0, link=0, /fpga-axi@0/axi-adxcvr-tx@84a20000 <-> /fpga-axi@0/axi-jesd204-tx@84a30000 
-[    1.536000zynqmp-ipi ff9905c0.mailboxProbed ZynqMP IPI Mailbox driver+[    2.388288] jesd204: created con: id=2, topo=0, link=0, /axi/spi@ff040000/hmc7044@2 <-> /fpga-axi@0/axi-adxcvr-tx@84a20000 
-[    1.542718FPGA manager framework +[    2.399271] jesd204: created con: id=3, topo=0, link=2, /fpga-axi@0/axi-adxcvr-rx-os@84a60000 <-> /fpga-axi@0/axi-jesd204-rx@84a70000 
-[    1.546063fpga-region fpga-full: FPGA Region probed +[    2.411213] jesd204: created con: id=4, topo=0, link=2, /axi/spi@ff040000/hmc7044@2 <-> /fpga-axi@0/axi-adxcvr-rx-os@84a60000 
-[    1.551065] Advanced Linux Sound Architecture Driver Initialized. +[    2.422455] jesd204: created con: id=5, topo=0, link=1, /fpga-axi@0/axi-adxcvr-rx@84a40000 <-> /fpga-axi@0/axi-jesd204-rx@84a50000 
-[    1.557291] Bluetooth: Core ver 2.22 +[    2.434132] jesd204: created con: id=6, topo=0, link=1, /axi/spi@ff040000/hmc7044@2 <-/fpga-axi@0/axi-adxcvr-rx@84a40000 
-[    1.560596] NET: Registered protocol family 31 +[    2.445122] jesd204: created con: id=7, topo=0, link=1, /axi/spi@ff040000/hmc7044-car@3 <-> /axi/spi@ff040000/hmc7044@2 
-[    1.564997] Bluetooth: HCI device and connection manager initialized +[    2.455843jesd204: created con: id=8, topo=0, link=2, /axi/spi@ff040000/hmc7044-car@3 <-> /axi/spi@ff040000/hmc7044@2 
-[    1.571313] Bluetooth: HCI socket layer initialized +[    2.466570] jesd204: created con: id=9, topo=0, link=0, /axi/spi@ff040000/hmc7044-car@3 <-> /axi/spi@ff040000/hmc7044@2 
-[    1.576155] Bluetooth: L2CAP socket layer initialized +[    2.477328] jesd204: created con: id=10, topo=0, link=1, /fpga-axi@0/axi-jesd204-rx@84a50000 <-> /axi/spi@ff040000/adrv9009-phy-b@1 
-[    1.581186] Bluetooth: SCO socket layer initialized +[    2.489065jesd204: created con: id=11, topo=0, link=2, /fpga-axi@0/axi-jesd204-rx@84a70000 <-> /axi/spi@ff040000/adrv9009-phy-b@1 
-[    1.586633] clocksource: Switched to clocksource arch_sys_counter +[    2.500830] jesd204created con: id=12, topo=0, link=0, /fpga-axi@0/axi-adrv9009-tx-hpc@84a04000 <-> /axi/spi@ff040000/adrv9009-phy-b@1 
-[    1.592151] VFS: Disk quotas dquot_6.6.0 +[    2.513070] jesd204: created con: id=13, topo=0, link=1, /axi/spi@ff040000/adrv9009-phy-b@1 <-> /axi/spi@ff040000/adrv9009-phy@0 
-[    1.596010] VFS: Dquot-cache hash table entries: 512 (order 0, 4096 bytes) +[    2.524546] jesd204: created con: id=14, topo=0, link=2, /axi/spi@ff040000/adrv9009-phy-b@<-> /axi/spi@ff040000/adrv9009-phy@0 
-[    1.607024] NET: Registered protocol family 2 +[    2.536062jesd204: created con: id=15, topo=0, link=0, /axi/spi@ff040000/adrv9009-phy-b@1 <-> /axi/spi@ff040000/adrv9009-phy@0 
-[    1.607416] TCP established hash table entries: 32768 (order: 6, 262144 bytes) +[    2.547543] jesd204: /axi/spi@ff040000/adrv9009-phy@0: JESD204[0:0] transition uninitialized -> initialized 
-[    1.614527] TCP bind hash table entries: 32768 (order: 7, 524288 bytes) +[    2.557215] jesd204: /axi/spi@ff040000/adrv9009-phy@0: JESD204[0:1] transition uninitialized -> initialized 
-[    1.621359] TCP: Hash tables configured (established 32768 bind 32768) +[    2.566903jesd204: /axi/spi@ff040000/adrv9009-phy@0: JESD204[0:2] transition uninitialized -> initialized 
-[    1.627426] UDP hash table entries: 2048 (order: 4, 65536 bytes) +[    2.576587] jesd204found 11 devices and 1 topologies 
-[    1.633413] UDP-Lite hash table entries: 2048 (order: 4, 65536 bytes) +[    2.581715] FPGA manager framework 
-[    1.639895] NET: Registered protocol family 1 +[    2.585176] Advanced Linux Sound Architecture Driver Initialized. 
-[    1.644249] RPC: Registered named UNIX socket transport module. +[    2.591475] Bluetooth: Core ver 2.22 
-[    1.649945] RPC: Registered udp transport module. +[    2.594675] NET: Registered protocol family 31 
-[    1.654611] RPC: Registered tcp transport module. +[    2.599078] Bluetooth: HCI device and connection manager initialized 
-[    1.659281] RPC: Registered tcp NFSv4.1 backchannel transport module. +[    2.605395] Bluetooth: HCI socket layer initialized 
-[    1.666213] hw perfevents: no interrupt-affinity property for /pmu, guessing. +[    2.610237] Bluetooth: L2CAP socket layer initialized 
-[    1.672962] hw perfevents: enabled with armv8_pmuv3 PMU driver, 7 counters available +[    2.615260] Bluetooth: SCO socket layer initialized 
-[    1.681095audit: initializing netlink subsys (disabled) +[    2.620423] clocksource: Switched to clocksource arch_sys_counter 
-[    1.685914] audit: type=2000 audit(1.631:1): state=initialized audit_enabled=0 res=1 +[    2.626256] VFS: Disk quotas dquot_6.6.0 
-[    1.686317] workingset: timestamp_bits=62 max_order=20 bucket_order=0 +[    2.630089] VFS: Dquot-cache hash table entries: 512 (order 0, 4096 bytes) 
-[    1.700657] NFS: Registering the id_resolver key type +[    2.640491] NET: Registered protocol family 2 
-[    1.704971] Key type id_resolver registered +[    2.641518] tcp_listen_portaddr_hash hash table entries: 2048 (order: 3, 32768 bytes, linear) 
-[    1.709106] Key type id_legacy registered +[    2.649721] TCP established hash table entries: 32768 (order: 6, 262144 bytes, linear
-[    1.713090] nfs4filelayout_init: NFSv4 File Layout Driver Registering... +[    2.657734] TCP bind hash table entries: 32768 (order: 7, 524288 bytes, linear
-[    1.719758] jffs2: version 2.2. (NAND) (SUMMARY)  �© 2001-2006 Red Hat, Inc. +[    2.665174] TCP: Hash tables configured (established 32768 bind 32768) 
-[    1.753319Block layer SCSI generic (bsg) driver version 0.4 loaded (major 247+[    2.671367] UDP hash table entries: 2048 (order: 4, 65536 bytes, linear
-[    1.755070io scheduler noop registered +[    2.678032] UDP-Lite hash table entries: 2048 (order: 4, 65536 bytes, linear
-[    1.758968io scheduler deadline registered +[    2.685189] NET: Registered protocol family 1 
-[    1.763211io scheduler cfq registered (default+[    2.689645] RPC: Registered named UNIX socket transport module. 
-[    1.767867] io scheduler mq-deadline registered +[    2.695275] RPC: Registered udp transport module. 
-[    1.772364] io scheduler kyber registered +[    2.699940] RPC: Registered tcp transport module. 
-[    1.778180] xilinx-dpdma fd4c0000.dma: Xilinx DPDMA engine is probed +[    2.704608] RPC: Registered tcp NFSv4.1 backchannel transport module. 
-[    1.811060] Serial: 8250/16550 driver, 4 ports, IRQ sharing disabled +[    2.711551] PCI: CLS 0 bytes, default 64 
-[    1.814439] cacheinfo: Unable to detect cache hierarchy for CPU 0 +[    2.715267] hw perfevents: no interrupt-affinity property for /pmu, guessing. 
-[    1.822332] brd: module loaded +[    2.722149] hw perfevents: enabled with armv8_pmuv3 PMU driver, 7 counters available 
-[    1.825796] loop: module loaded +[    2.730382Initialise system trusted keyrings 
-[    1.826457mtdoops: mtd device (mtddev=name/number) must be supplied +[    2.734184] workingset: timestamp_bits=62 max_order=20 bucket_order=0 
-[    1.832536] libphy: Fixed MDIO Bus: probed +[    2.741039] NFS: Registering the id_resolver key type 
-[    1.835518] tun: Universal TUN/TAP device driver, 1.6 +[    2.745535] Key type id_resolver registered 
-[    1.839638] CAN device driver interface +[    2.749675] Key type id_legacy registered 
-[    1.843839] macb ff0b0000.ethernet: Not enabling partial store and forward +[    2.753666] nfs4filelayout_init: NFSv4 File Layout Driver Registering... 
-[    1.875869] macb ff0e0000.ethernet: Not enabling partial store and forward +[    2.760329] jffs2: version 2.2. (NAND) (SUMMARY)  �© 2001-2006 Red Hat, Inc. 
-[    1.903460] usbcore: registered new interface driver asix +[    2.767476fuse: init (API version 7.32) 
-[    1.903535] usbcore: registered new interface driver ax88179_178a +[    2.804010] NET: Registered protocol family 38 
-[    1.909297] usbcore: registered new interface driver cdc_ether +[    2.804051] xor: measuring software checksum speed 
-[    1.915092] usbcore: registered new interface driver net1080 +[    2.811368]    8regs           :  2593 MB/sec 
-[    1.920710] usbcore: registered new interface driver cdc_subset +[    2.815095]    32regs          :  3071 MB/sec 
-[    1.926592] usbcore: registered new interface driver zaurus +[    2.819983]    arm64_neon      :  2612 MB/sec 
-[    1.932137] usbcore: registered new interface driver cdc_ncm +[    2.820543] xor: using function: 32regs (3071 MB/sec
-[    1.937967xilinx-axipmon ffa00000.perf-monitorProbed Xilinx APM +[    2.825565Key type asymmetric registered 
-[    1.945448] usbcore: registered new interface driver uas +[    2.829626Asymmetric key parser 'x509' registered 
-[    1.949269] usbcore: registered new interface driver usb-storage +[    2.834485Block layer SCSI generic (bsg) driver version 0.4 loaded (major 248
-[    1.955497i2c /dev entries driver +[    2.841823] io scheduler mq-deadline registered 
-[    1.959608IR NEC protocol handler initialized +[    2.846320] io scheduler kyber registered 
-[    1.963162IR RC5(x/sz) protocol handler initialized +[    2.873248] Serial: 8250/16550 driver, 4 ports, IRQ sharing disabled 
-[    1.968176IR RC6 protocol handler initialized +[    2.877054] cacheinfo: Unable to detect cache hierarchy for CPU 0 
-[    1.972676IR JVC protocol handler initialized +[    2.883828] brd: module loaded 
-[    1.977171IR Sony protocol handler initialized +[    2.887717] loop: module loaded 
-[    1.981756IR SANYO protocol handler initialized +[    2.887956Registered mathworks_ip class 
-[    1.986427] IR Sharp protocol handler initialized +[    2.891876] libphy: Fixed MDIO Bus: probed 
-[    1.991098] IR MCE Keyboard/mouse protocol handler initialized +[    2.895120] tun: Universal TUN/TAP device driver, 1.6 
-[    1.996894] IR XMP protocol handler initialized +[    2.899287] CAN device driver interface 
-[    2.002058] usbcore: registered new interface driver uvcvideo +[    2.903665] usbcore: registered new interface driver asix 
-[    2.007103] USB Video Class driver (1.1.1) +[    2.908433] usbcore: registered new interface driver ax88179_178a 
-[    2.011309] axi_fan_control_driver 80000000.axi-fan-control: Re-mapped from 0x80000000 to ffffff800b6d0000 +[    2.914465] usbcore: registered new interface driver cdc_ether 
-[    2.020776axi_fan_control_driver 80000000.axi-fan-controlMajor version mismatch. Expected 1.00.a, Reported 0.01. +[    2.920252] usbcore: registered new interface driver net1080 
-[    2.031736] cdns-wdt fd4d0000.watchdog: Xilinx Watchdog Timer at ffffff800921e000 with timeout 60s +[    2.925874] usbcore: registered new interface driver cdc_subset 
-[    2.040410] Bluetooth: HCI UART driver ver 2.3 +[    2.931757] usbcore: registered new interface driver zaurus 
-[    2.044647] Bluetooth: HCI UART protocol H4 registered +[    2.937304] usbcore: registered new interface driver cdc_ncm 
-[    2.049747] Bluetooth: HCI UART protocol BCSP registered +[    2.943539ehci_hcd: USB 2.0 'Enhanced' Host Controller (EHCI) Driver 
-[    2.055023] Bluetooth: HCI UART protocol ATH3K registered +[    2.949387] ehci-pciEHCI PCI platform driver 
-[    2.060385] Bluetooth: HCI UART protocol Three-wire (H5) registered +[    2.954123] usbcore: registered new interface driver uas 
-[    2.066657] Bluetooth: HCI UART protocol Intel registered +[    2.959105] usbcore: registered new interface driver usb-storage 
-[    2.071977] Bluetooth: HCI UART protocol QCA registered +[    2.965091usbcore: registered new interface driver usbserial_generic 
-[    2.077196] usbcore: registered new interface driver bcm203x +[    2.971542usbserial: USB Serial support registered for generic 
-[    2.082816] usbcore: registered new interface driver bpa10x +[    2.977514usbcore: registered new interface driver ftdi_sio 
-[    2.088353] usbcore: registered new interface driver bfusb +[    2.983218usbserial: USB Serial support registered for FTDI USB Serial Device 
-[    2.093804] usbcore: registered new interface driver btusb +[    2.990492usbcore: registered new interface driver upd78f0730 
-[    2.099226] Bluetooth: Generic Bluetooth SDIO driver ver 0.1 +[    2.996366usbserial: USB Serial support registered for upd78f0730 
-[    2.104890] usbcore: registered new interface driver ath3k +[    3.003433i2c /dev entries driver 
-[    2.110751cpufreqcpufreq_onlineCPU0Running at unlisted freq: 1066666 KHz +[    3.007452] usbcore: registered new interface driver uvcvideo 
-[    2.117695cpufreqcpufreq_onlineCPU0Unlisted initial frequency changed to: 1199999 KHz +[    3.011755] USB Video Class driver (1.1.1) 
-[    2.126504] sdhci: Secure Digital Host Controller Interface driver +[    3.016188] axi_fan_control_driver 80000000.axi-fan-control: clk_get failed with -517 
-[    2.132269] sdhci: Copyright(c) Pierre Ossman +[    3.024182BluetoothHCI UART driver ver 2.3 
-[    2.136593] sdhci-pltfm: SDHCI platform and OF driver helper +[    3.028020] Bluetooth: HCI UART protocol H4 registered 
-[    2.143439] ledtrig-cpu: registered to indicate activity on CPUs +[    3.033118] Bluetooth: HCI UART protocol BCSP registered 
-[    2.148321] usbcore: registered new interface driver usbhid +[    3.038407] Bluetooth: HCI UART protocol LL registered 
-[    2.153722] usbhid: USB HID core driver +[    3.043498] Bluetooth: HCI UART protocol ATH3K registered 
-[    2.206546] fpga_manager fpga0: Xilinx ZynqMP FPGA Manager registered +[    3.048872] Bluetooth: HCI UART protocol Three-wire (H5) registered 
-[    2.208080] pktgen: Packet Generator for packet performance testing. Version: 2.75 +[    3.055118] Bluetooth: HCI UART protocol Intel registered 
-[    2.215060] Netfilter messages via NETLINK v0.30. +[    3.060465] Bluetooth: HCI UART protocol QCA registered 
-[    2.219659] ip_tables: (C) 2000-2006 Netfilter Core Team +[    3.065665] usbcore: registered new interface driver bcm203x 
-[    2.224955] Initializing XFRM netlink socket +[    3.071286] usbcore: registered new interface driver bpa10x 
-[    2.229113] NET: Registered protocol family 10 +[    3.076822] usbcore: registered new interface driver bfusb 
-[    2.233830] Segment Routing with IPv6 +[    3.082274] usbcore: registered new interface driver btusb 
-[    2.237141] ip6_tables: (C) 2000-2006 Netfilter Core Team +[    3.087733] usbcore: registered new interface driver ath3k 
-[    2.242594] sit: IPv6, IPv4 and MPLS over IPv4 tunneling driver +[    3.093257EDAC MC036 UE DDR ECC error type :UE Row 0 Bank 0 BankGroup Number 0 Block Number 0 on mc#0csrow#0channel#0 (csrow:0 chann) 
-[    2.248661] NET: Registered protocol family 17 +[    3.108288EDAC MC0Giving out device to module 1 controller synps_ddr_controllerDEV synps_edac (INTERRUPT) 
-[    2.252766] NET: Registered protocol family 15 +[    3.118380] EDAC DEVICE0Giving out device to module zynqmp-ocm-edac controller zynqmp_ocmDEV ff960000.memory-controller (INTERRUPT) 
-[    2.257180] bridge: filtering via arp/ip/ip6tables is no longer available by default. Update your scripts to load br_netfilter if you need this. +[    3.130636] sdhci: Secure Digital Host Controller Interface driver 
-[    2.270056] Ebtables v2.0 registered +[    3.136490] sdhci: Copyright(c) Pierre Ossman 
-[    2.273682] can: controller area network core (rev 20170425 abi 9) +[    3.140811] sdhci-pltfm: SDHCI platform and OF driver helper 
-[    2.279769] NET: Registered protocol family 29 +[    3.146774] ledtrig-cpu: registered to indicate activity on CPUs 
-[    2.284157] can: raw protocol (rev 20170425) +[    3.152419] SMCCC: SOC_ID: ARCH_SOC_ID not implemented, skipping .... 
-[    2.288393] can: broadcast manager protocol (rev 20170425 t) +[    3.158839] zynqmp_firmware_probe Platform Management API v1.1 
-[    2.294018] can: netlink gateway (rev 20170425) max_hops=1 +[    3.164601] zynqmp_firmware_probe Trustzone version v1.0 
-[    2.299519] Bluetooth: RFCOMM TTY layer initialized +[    3.210464] zynqmp-pinctrl firmware:zynqmp-firmware:pinctrl: zynqmp pinctrl initialized 
-[    2.304315] Bluetooth: RFCOMM socket layer initialized +[    3.261518] zynqmp-aes firmware:zynqmp-firmware:zynqmp-aes: will run requests pump with realtime priority 
-[    2.309420] Bluetooth: RFCOMM ver 1.11 +[    3.276577] alg: No test for xilinx-keccak-384 (zynqmp-keccak-384) 
-[    2.313136] Bluetooth: BNEP (Ethernet Emulation) ver 1.3 +[    3.277249] alg: No test for xilinx-zynqmp-rsa (zynqmp-rsa) 
-[    2.318409] Bluetooth: BNEP filters: protocol multicast +[    3.282776] usbcore: registered new interface driver usbhid 
-[    2.323604] Bluetooth: BNEP socket layer initialized +[    3.288183] usbhid: USB HID core driver 
-[    2.328530] Bluetooth: HIDP (Human Interface Emulation) ver 1.2 +[    3.298771] axi_sysid 85000000.axi-sysid-0: AXI System ID core version (1.01.a) found 
-[    2.334413] Bluetooth: HIDP socket layer initialized +[    3.301131] axi_sysid 85000000.axi-sysid-0: [(null)] on [adrv9009zu11eg] git branch <hdl_2021_r1> git <6a6c5acc8ec422c068c7787cdeb5b0ee4C 
-[    2.339445] 9pnet: Installing 9P2000 support +[    3.317071] fpga_manager fpga0: Xilinx ZynqMP FPGA Manager registered 
-[    2.343595] Key type dns_resolver registered +[    3.323424] usbcore: registered new interface driver snd-usb-audio 
-[    2.348279] registered taskstats version 1 +[    3.330825] pktgen: Packet Generator for packet performance testing. Version: 2.75 
-[    2.352220] Btrfs loaded, crc32c=crc32c-generic +[    3.337115] Initializing XFRM netlink socket 
-�ہ   2.370929console [ttyPS0] enabledat MMIO 0xff010000 (irq = 22, base_baud = 6249999) is a xuartps +[    3.340999] NET: Registered protocol family 10 
-[    2.370929] console [ttyPS0] enabled +[    3.345682] Segment Routing with IPv6 
-[    2.374506] bootconsole [cdns0] disabled +[    3.349090] sit: IPv6, IPv4 and MPLS over IPv4 tunneling driver 
-[    2.374506] bootconsole [cdns0] disabled +[    3.355144] NET: Registered protocol family 17 
-[    2.382895GPIO line 13 (ulpi-phy-reset) hogged as output/high +[    3.359259] NET: Registered protocol family 15 
-[    2.392770] xilinx-psgtr fd400000.zynqmp_phyLane:3 type:8 protocol:4 pll_locked:yes +[    3.363753] can: controller area network core 
-[    2.400962PLL: shutdown +[    3.368008] NET: Registered protocol family 29 
-[    2.404926] zynqmp_pll_set_rate() set divider failed for dpll_int, ret = -13 +[    3.372404] can: raw protocol 
-[    2.412016] zynqmp_clk_divider_set_rate() set divider failed for gpu_ref_div1, ret = -13 +[    3.375339] can: broadcast manager protocol 
-[    2.421593] xilinx-dp-snd-codec fd4a0000.zynqmp-display:zynqmp_dp_snd_codec0: Failed to get required clock freq +[    3.379496] can: netlink gateway max_hops=1 
-[    2.431694] xilinx-dp-snd-codec: probe of fd4a0000.zynqmp-display:zynqmp_dp_snd_codec0 failed with error -22 +[    3.383882] Bluetooth: RFCOMM TTY layer initialized 
-[    2.441756] xilinx-dp-snd-pcm zynqmp_dp_snd_pcm0: Xilinx DisplayPort Sound PCM probed +[    3.388667] Bluetooth: RFCOMM socket layer initialized 
-[    2.449813] xilinx-dp-snd-pcm zynqmp_dp_snd_pcm1: Xilinx DisplayPort Sound PCM probed +[    3.393776] Bluetooth: RFCOMM ver 1.11 
-[    2.457888] xilinx-dp-snd-card fd4a0000.zynqmp-display:zynqmp_dp_snd_card: ASoC: CPU DAI (null) not registered +[    3.397485] Bluetooth: BNEP (Ethernet Emulation) ver 1.3 
-[    2.467957] OF: graph: no port node found in /amba/zynqmp-display@fd4a0000 +[    3.402758] Bluetooth: BNEP filters: protocol multicast 
-[    2.474991[drm] Supports vblank timestamp caching Rev 2 (21.10.2013). +[    3.407950] Bluetooth: BNEP socket layer initialized 
-[    2.481600] [drm] No driver support for vblank timestamp query. +[    3.412878] Bluetooth: HIDP (Human Interface Emulation) ver 1.2 
-[    2.487557xlnx-drm xlnx-drm.0: bound fd4a0000.zynqmp-display (ops 0xffffff8008b11f30) +[    3.418763] Bluetooth: HIDP socket layer initialized 
-[    2.519821PLLenable +[    3.423797] 9pnet: Installing 9P2000 support 
-[    2.649238Consoleswitching to colour frame buffer device 240x67 +[    3.427940] NET: Registered protocol family 36 
-[    2.674637zynqmp-display fd4a0000.zynqmp-displayfb0 frame buffer device +[    3.432354] Key type dns_resolver registered 
-[    2.681970] [drmInitialized xlnx 1.0.0 20130509 for fd4a0000.zynqmp-display on minor +[    3.436762] registered taskstats version 1 
-[    2.690077zynqmp-display fd4a0000.zynqmp-displayZynqMP DisplayPort Subsystem driver probed +[    3.440652] Loading compiled-in X.509 certificates 
-[    2.699142macb ff0b0000.ethernetNot enabling partial store and forward +[    3.445743] Btrfs loaded, crc32c=crc32c-generic 
-[    2.706553libphyMACB_mii_bus: probed +[    3.457843ff010000.serial: ttyPS0 at MMIO 0xff010000 (irq = 31, base_baud = 6249999) is a xuartps 
-[    2.740068] macb ff0e0000.ethernet: Not enabling partial store and forward +[    3.466866printk: console [ttyPS0] enabled 
-[    2.747391] libphy: MACB_mii_bus: probed +[    3.466866printk: console [ttyPS0] enabled 
-[    2.822746] macb ff0e0000.ethernet eth0: Cadence GEM rev 0x50070106 at 0xff0e0000 irq 14 (1e:d5:da:08:37:fe+[    3.471160] printk: bootconsole [cdns0] disabled 
-[    2.832574Marvell 88E1510 ff0e0000.ethernet-ffffffff:00: attached PHY driver [Marvell 88E1510(mii_bus:phy_addr=ff0e0000.ethernet-ffffffff:00, irq=POLL) +[    3.471160printk: bootconsole [cdns0] disabled 
-[    2.848331] xilinx-psgtr fd400000.zynqmp_phyLane:1 type:0 protocol:3 pll_locked:yes +[    3.480447of-fpga-region fpga-full: FPGA Region probed 
-[    2.858414xhci-hcd xhci-hcd.0.autoxHCI Host Controller +[    3.490483] gpio-13 (ulpi-phy-reset)hogged as output/high 
-[    2.863909xhci-hcd xhci-hcd.0.autonew USB bus registeredassigned bus number +[    3.497715] xilinx-zynqmp-dpdma fd4c0000.dma-controllerXilinx DPDMA engine is probed 
-[    2.871802xhci-hcd xhci-hcd.0.autohcc params 0x0238f625 hci version 0x100 quirks 0x22010010 +[    3.506449zynqmp-display fd4a0000.display: vtc bridge property not present 
-[    2.880522xhci-hcd xhci-hcd.0.autoirq 34, io mem 0xfe200000 +[    3.515394] xilinx-dp-snd-codec fd4a0000.display:zynqmp_dp_snd_codec0: Failed to get required clock freq 
-[    2.886556usb usb1New USB device foundidVendor=1d6b, idProduct=0002 +[    3.524898] xilinx-dp-snd-codec: probe of fd4a0000.display:zynqmp_dp_snd_codec0 failed with error -22 
-[    2.893344usb usb1New USB device stringsMfr=3Product=2SerialNumber=1 +[    3.535124] xilinx-dp-snd-pcm zynqmp_dp_snd_pcm0: Xilinx DisplayPort Sound PCM probed 
-[    2.900561usb usb1ProductxHCI Host Controller +[    3.543954] xilinx-dp-snd-pcm zynqmp_dp_snd_pcm1: Xilinx DisplayPort Sound PCM probed 
-[    2.905430usb usb1ManufacturerLinux 4.14.0-12222-g6598c88 xhci-hcd +[    3.552860] OF: graph: no port node found in /axi/display@fd4a0000 
-[    2.912122usb usb1SerialNumberxhci-hcd.0.auto +[    3.559244xlnx-drm xlnx-drm.0: bound fd4a0000.display (ops 0xffffffc010ffd810
-[    2.917295hub 1-0:1.0USB hub found +[    4.644438zynqmp-display fd4a0000.display: [drm] Cannot find any crtc or sizes 
-[    2.921056hub 1-0:1.01 port detected +[    4.652071[drm] Initialized xlnx 1.0.0 20130509 for fd4a0000.display on minor 0 
-[    2.925157xhci-hcd xhci-hcd.0.autoxHCI Host Controller +[    4.659562] zynqmp-display fd4a0000.display: ZynqMP DisplayPort Subsystem driver probed 
-[    2.930646xhci-hcd xhci-hcd.0.autonew USB bus registered, assigned bus number 2 +[    4.668777adrv9009 spi1.0adrv9009_probe : enter 
-[    2.938339usb usb2We don't know the algorithms for LPM for this hostdisabling LPM+[    4.674594adrv9009 spi1.1adrv9009_probe : enter 
-[    2.946513usb usb2New USB device foundidVendor=1d6bidProduct=0003 +[    4.760470hmc7044 spi1.3: PLL1: Locked, CLKIN3 @ 38400000 Hz, PFD: 7680 kHz PLL2: Locked @ 2949.120000 MHz 
-[    2.953294usb usb2New USB device strings: Mfr=3Product=2SerialNumber=1 +[    4.770830] jesd204: /axi/spi@ff040000/hmc7044-car@3,jesd204:3,parent=spi1.3Using as SYSREF provider 
-[    2.960504usb usb2ProductxHCI Host Controller +[    4.780817hmc7044 spi1.4: Read/Write check failed (0xFF) 
-[    2.965375usb usb2ManufacturerLinux 4.14.0-12222-g6598c88 xhci-hcd +   4.833539hmc7044 spi1.4: Probed, SPI read support failed 
-[    2.972066usb usb2: SerialNumber: xhci-hcd.0.auto +[    4.840132] spi-nor spi0.0: SPI-NOR-UniqueID 104000a87704000209002100f73e717c69 
-[    2.977185hub 2-0:1.0: USB hub found +[    4.847824] random: fast init done 
-[    2.980948hub 2-0:1.0: 1 port detected +[    4.851386] spi-nor spi0.0: trying to lock already unlocked area 
-[    2.987163at24 0-002c2048 byte 24c16 EEPROMwritable, 1 bytes/write +[    4.857388] spi-nor spi0.0: n25q512a (131072 Kbytes) 
-[    2.993894cdns-i2c ff020000.i2c400 kHz mmio ff020000 irq 16 +[    4.8623624 fixed-partitions partitions found on MTD device spi0.
-[    3.258646usb 1-1new full-speed USB device number 2 using xhci-hcd +[    4.868706] Creating 4 MTD partitions on "spi0.0": 
-[    3.412784usb 1-1New USB device foundidVendor=046d, idProduct=c52b +[    4.873488] 0x000000000000-0x000002000000 "qspi-fsbl-uboot" 
-[    3.419485usb 1-1New USB device stringsMfr=1Product=2, SerialNumber=0 +[    4.8799310x000002000000-0x000002020000 : "qspi-uboot-env" 
-[    3.426618usb 1-1ProductUSB Receiver +[    4.886199] 0x000002020000-0x000002100000 "qspi-nvmfs" 
-[    3.430704usb 1-1ManufacturerLogitech +[    4.8921150x000002100000-0x000007f00000 "qspi-linux" 
-[    3.444263inputLogitech USB Receiver as /devices/platform/amba/ff9d0000.usb0/fe200000.dwc3/xhci-hcd.0.auto/usb1/1-1/1-1:1.0/0003:046D:C52B.0001/input/input0 +[    4.899871] macb ff0e0000.ethernet: Not enabling partial store and forward 
-[    3.515005hid-generic 0003:046D:C52B.0001input: USB HID v1.11 Keyboard [Logitech USB Receiveron usb-xhci-hcd.0.auto-1/input0 +[    4.907400] libphy: MACB_mii_bus: probed 
-[    3.530419inputLogitech USB Receiver as /devices/platform/amba/ff9d0000.usb0/fe200000.dwc3/xhci-hcd.0.auto/usb1/1-1/1-1:1.1/0003:046D:C52B.0002/input/input1 +[    4.913221] macb ff0e0000.ethernet eth0: Cadence GEM rev 0x50070106 at 0xff0e0000 irq 21 (00:e0:22:fe:0b:d6
-[    3.602770hid-generic 0003:046D:C52B.0002inputUSB HID v1.11 Mouse [Logitech USB Receiveron usb-xhci-hcd.0.auto-1/input1 +[    4.923467xilinx-axipmon ffa00000.perf-monitorProbed Xilinx APM 
-[    3.616926hid-generic 0003:046D:C52B.0003device has no listeners, quitting +   4.930029xilinx-axipmon fd0b0000.perf-monitorProbed Xilinx APM 
-[    4.018649cdns-i2c ff030000.i2ctimeout waiting on completion +[    4.936559] xilinx-axipmon fd490000.perf-monitorProbed Xilinx APM 
-[    4.024653pca954x 1-0070probe failed +[    4.943067] xilinx-axipmon ffa10000.perf-monitorProbed Xilinx APM 
-[    4.028626cdns-i2c ff030000.i2c400 kHz mmio ff030000 irq 17 +[    4.951512] OFgraphno port node found in /axi/phy@fd400000 
-[    4.078646mmc0SDHCI controller on ff170000.mmc [ff170000.mmcusing ADMA 64-bit +[    5.053782] at24 0-002csupply vcc not found, using dummy regulator 
-[    4.092758] adrv9009 spi1.0: adrv9009_probe enter +[    5.060764] at24 0-002c2048 byte 24c16 EEPROM, writable, 1 bytes/write 
-[    4.097840] adrv9009 spi1.1adrv9009_probe enter +[    5.067510cdns-i2c ff020000.i2c: 400 kHz mmio ff020000 irq 23 
-[    4.157557axi_adxcvr 84a40000.axi-adxcvr-rx: AXI-ADXCVR-RX (17.01.a) using GTH4 at 0x84A40000 mapped to 0xffffff8009375000. Number of lanes4. +[    5.075102] i2c i2c-1: Added multiplexed i2c bus 3 
-[    4.172340axi_adxcvr 84a60000.axi-adxcvr-rx-osAXI-ADXCVR-RX (17.01.a) using GTH4 at 0x84A60000 mapped to 0xffffff800937d000. Number of lanes4. +[    5.569318] i2c i2c-1: Added multiplexed i2c bus 4 
-[    4.186326axi_adxcvr 84a20000.axi-adxcvr-tx: AXI-ADXCVR-TX (17.01.a) using GTH4 at 0x84A20000 mapped to 0xffffff8009385000. Number of lanes8. +[    5.574255] i2c i2c-1Added multiplexed i2c bus 5 
-[    4.201026xilinx-dp-snd-card fd4a0000.zynqmp-display:zynqmp_dp_snd_card: ASoC: CPU DAI (null) not registered +[    5.579276at24 6-0050: supply vcc not found, using dummy regulator 
-[    4.211374macb ff0b0000.ethernetNot enabling partial store and forward +[    5.615141] i2c i2c-1: Added multiplexed i2c bus 6 
-[    4.218802libphyMACB_mii_busprobed +[    5.620155] at24 7-0050: supply vcc not found, using dummy regulator 
-[    4.235694mmc0new high speed SDHC card at address aaaa +[    5.654316] i2c i2c-1Added multiplexed i2c bus 
-[    4.241355mmcblk0mmc0:aaaa SU08G 7.40 GiB  +[    5.659324] at24 8-0050: supply vcc not foundusing dummy regulator 
-[    4.246924 mmcblk0p1 p2 p3 +[    5.695115] i2c i2c-1: Added multiplexed i2c bus 
-[    4.294737macb ff0b0000.ethernet eth1Cadence GEM rev 0x50070106 at 0xff0b0000 irq 13 (da:3c:6d:34:48:50) +[    5.700043] i2c i2c-1: Added multiplexed i2c bus 9 
-[    4.304563Marvell 88E1510 ff0e0000.ethernet-ffffffff:01attached PHY driver [Marvell 88E1510] (mii_bus:phy_addr=ff0e0000.ethernet-ffffffff:01irq=POLL) +[    5.704968i2c i2c-1: Added multiplexed i2c bus 10 
-   4.318901] adrv9009 spi1.0: adrv9009_probe enter +[    5.709847] pca954x 1-0070: registered 8 multiplexed busses for I2C switch pca9548 
-   4.326803] adrv9009 spi1.0: ADIHAL_resetHw at index +[    5.717454] cdns-i2c ff030000.i2c400 kHz mmio ff030000 irq 24 
-   4.799975randomcrng init done +[    5.724248cdns-wdt fd4d0000.watchdog: Xilinx Watchdog Timer with timeout 60s 
-[   11.866079] adrv9009 spi1.0: TAL_DEFRAMER_A deframerStatus 0x12 +[    5.731852] cpu cpu0dev_pm_opp_set_rate: failed to find current OPP for freq 1316666653 (-34) 
-[   11.872090] adrv9009 spi1.0: TAL_FRAMER_A framerStatus 0x21 +[    5.740593cpufreqcpufreq_online: CPU0: Running at unlisted initial frequency: 1316666 KHzchanging to: 1199999 KHz 
-[   11.877752] adrv9009 spi1.0: TAL_FRAMER_B framerStatus 0x29 +[    5.751385cpu cpu0dev_pm_opp_set_ratefailed to find current OPP for freq 1316666653 (-34) 
-[   11.987596] adrv9009 spi1.0: adrv9009_probe: adrv9009-x2 Rev 192Firmware 6.0.2 API version3.6.0.5 successfully initialized +[    5.761590] zynqmp-display fd4a0000.display: [drm] Cannot find any crtc or sizes 
-[   11.999161] adrv9009 spi1.1adrv9009_probe enter +[    5.771554] axi-i2s 82000000.axi-i2s-adi: probedcapture enabledplayback enabled 
-[   12.006907] adrv9009 spi1.1ADIHAL_resetHw at index +[    5.779704adrv9009 spi1.0adrv9009_probe enter 
-[   12.690647] axi-jesd204-rx 84a50000.axi-jesd204-rxLane 2 desynced (8 errors)restarting link +[    5.785338adrv9009 spi1.1adrv9009_probe enter 
-[   12.699342] axi-jesd204-rx 84a50000.axi-jesd204-rxLane 3 desynced (12 errors)restarting link +[    5.794144] mmc0: SDHCI controller on ff170000.mmc [ff170000.mmc] using ADMA 64-bit 
-[   12.808150] axi-jesd204-rx 84a70000.axi-jesd204-rxLane 2 desynced (9 errors)restarting link +[    5.838432hmc7044 spi1.2PLL1Locked, CLKIN1 @ 30720000 Hz, PFD: 30720 kHz PLL2: Locked @ 2949.120000 MHz 
-[   12.816842] axi-jesd204-rx 84a70000.axi-jesd204-rxLane 3 desynced (631 errors)restarting link +[    5.849324] macb ff0b0000.ethernet: Not enabling partial store and forward 
-[   19.576472] adrv9009 spi1.1: adrv9009_probe: adrv9009 Rev 192, Firmware 6.0.2 API version: 3.6.0.successfully initialized +[    5.859757mmc0new high speed SDHC card at address 0001 
-[   19.599339cf_axi_dds 84a04000.axi-adrv9009-tx-hpcAnalog Devices CF_AXI_DDS_DDS MASTER (9.01.b) at 0x84A04000 mapped to 0xffffff800cb60000, probed DDS ADRV9009-X2 +[    5.865588] mmcblk0mmc0:0001 SD16G 14.6 GiB  
-[   19.614346xilinx-dp-snd-card fd4a0000.zynqmp-display:zynqmp_dp_snd_cardASoC: CPU DAI (null) not registered +[    5.871588libphy: MACB_mii_bus: probed 
-[   19.644911cf_axi_adc 84a00000.axi-adrv9009-rx-hpcADI AIM (10.01.b) at 0x84A00000 mapped to 0xffffff800cb70000probed ADC ADRV9009-X2 as MASTER +[    5.875571] macb ff0b0000.ethernet eth1: Cadence GEM rev 0x50070106 at 0xff0b0000 irq 20 (c6:bd:2a:d9:e2:01) 
-[   19.658310xilinx-dp-snd-card fd4a0000.zynqmp-display:zynqmp_dp_snd_card: ASoCCPU DAI (null) not registered +[    5.887653]  mmcblk0: p1 p2 p3 
-[   19.669477] input: gpio_keys as /devices/platform/gpio_keys/input/input2 +[    5.891736] axi_adxcvr 84a40000.axi-adxcvr-rxAXI-ADXCVR-RX (17.05.a) using CPLL on GTH4 at 0x84A40000. Number of lanes4. 
-[   19.676324hctosysunable to open rtc device (rtc0) +[    5.905263axi_adxcvr 84a60000.axi-adxcvr-rx-os: AXI-ADXCVR-RX (17.05.a) using CPLL on GTH4 at 0x84A60000. Number of lanes4. 
-[   19.682504] ALSA device list: +[    5.917738axi_adxcvr 84a20000.axi-adxcvr-tx: AXI-ADXCVR-TX (17.05.a) using QPLL on GTH4 at 0x84A20000. Number of lanes8. 
-[   19.685470]   No soundcards found. +[    5.929482axi-jesd204-rx 84a50000.axi-jesd204-rxAXI-JESD204-RX (1.07.a) at 0x84A50000. Encoder 8b10bwidth 4/4, lanes 4, jesd204-fs
-[   19.689366xilinx-dp-snd-card fd4a0000.zynqmp-display:zynqmp_dp_snd_card: ASoC: CPU DAI (nullnot registered +[    5.942407axi-jesd204-rx 84a70000.axi-jesd204-rxAXI-JESD204-RX (1.07.a) at 0x84A70000. Encoder 8b10bwidth 4/4lanes 4, jesd204-fs. 
-[   19.723364] EXT4-fs (mmcblk0p2): mounted filesystem with ordered data mode. Opts: (null) +[    5.955219axi-jesd204-tx 84a30000.axi-jesd204-txAXI-JESD204-TX (1.06.a) at 0x84A30000. Encoder 8b10bwidth 4/4lanes 8, jesd204-fs. 
-[   19.731468] VFS: Mounted root (ext4 filesystem) on device 179:2. +[    5.972920adrv9009 spi1.0adrv9009_probe enter 
-[   19.745864] devtmpfs: mounted +[    5.983087adrv9009 spi1.1adrv9009_probe enter 
-[   19.748945] Freeing unused kernel memory: 512K +[    6.014044] cf_axi_adc 84a00000.axi-adrv9009-rx-hpc: ADI AIM (10.01.b) at 0x84A00000 mapped to 0x(____ptrval____), probed ADC ADRV9009-XR 
-Mount failed for selinuxfs on /sys/fs/selinux No such file or directory +[    6.045146cf_axi_dds 84a04000.axi-adrv9009-tx-hpc: Analog Devices CF_AXI_DDS_DDS MASTER (9.01.b) at 0x84A04000 mapped to 0x(____ptrval2 
-[   19.954655axi-jesd204-rx 84a50000.axi-jesd204-rxLane desynced (50 errors), restarting link +[    6.059953jesd204: /axi/spi@ff040000/adrv9009-phy@0,jesd204:0,parent=spi1.0: JESD204[0:0] transition initialized -> probed 
-[   19.963439axi-jesd204-rx 84a50000.axi-jesd204-rxLane 3 desynced (10 errors)restarting link +[    6.071171jesd204: /axi/spi@ff040000/adrv9009-phy@0,jesd204:0,parent=spi1.0: JESD204[0:1] transition initialized -> probed 
-[   20.080717inithwclock main process (1789terminated with status +[    6.082381jesd204: /axi/spi@ff040000/adrv9009-phy@0,jesd204:0,parent=spi1.0: JESD204[0:2] transition initialized -> probed 
-[   20.082654axi-jesd204-rx 84a70000.axi-jesd204-rxLane 2 desynced (9 errors), restarting link +[    6.093608jesd204: /axi/spi@ff040000/adrv9009-phy@0,jesd204:0,parent=spi1.0JESD204[0:0] transition probed -> idle 
-[   20.082660axi-jesd204-rx 84a70000.axi-jesd204-rxLane 3 desynced (9 errors), restarting link +[    6.104214jesd204: /axi/spi@ff040000/adrv9009-phy@0,jesd204:0,parent=spi1.0: JESD204[0:1] transition probed -> idle 
-[ OK ]ting up X socket directories...         +[    6.114829jesd204: /axi/spi@ff040000/adrv9009-phy@0,jesd204:0,parent=spi1.0: JESD204[0:2] transition probed -> idle 
- * STARTDISTCC is set to false in /etc/default/distcc +[    6.125442jesd204/axi/spi@ff040000/adrv9009-phy@0,jesd204:0,parent=spi1.0: JESD204[0:0] transition idle -> device_init 
- /usr/bin/distccd not starting +[    6.136484jesd204: /axi/spi@ff040000/adrv9009-phy@0,jesd204:0,parent=spi1.0JESD204[0:1] transition idle -> device_init 
-[ OK ]rting IIO Daemon iiod        +[    6.147523jesd204: /axi/spi@ff040000/adrv9009-phy@0,jesd204:0,parent=spi1.0JESD204[0:2] transition idle -> device_init 
 +[    6.158566jesd204: /axi/spi@ff040000/adrv9009-phy@0,jesd204:0,parent=spi1.0: JESD204[0:0] transition device_init -> link_init 
 +[    6.170044] jesd204: /axi/spi@ff040000/adrv9009-phy@0,jesd204:0,parent=spi1.0: JESD204[0:1] transition device_init -> link_init 
 +[    6.181515jesd204/axi/spi@ff040000/adrv9009-phy@0,jesd204:0,parent=spi1.0JESD204[0:2transition device_init -> link_init 
 +[    6.193005] jesd204: /axi/spi@ff040000/adrv9009-phy@0,jesd204:0,parent=spi1.0: JESD204[0:0] transition link_init -> link_supported 
 +[    6.204735jesd204: /axi/spi@ff040000/adrv9009-phy@0,jesd204:0,parent=spi1.0: JESD204[0:1] transition link_init -> link_supported 
 +[    6.216469] jesd204: /axi/spi@ff040000/adrv9009-phy@0,jesd204:0,parent=spi1.0: JESD204[0:2] transition link_init -> link_supported 
 +[    6.228926] jesd204: /axi/spi@ff040000/adrv9009-phy@0,jesd204:0,parent=spi1.0JESD204[0:0] transition link_supported -> link_pre_setup 
 +[    6.241098] jesd204: /axi/spi@ff040000/adrv9009-phy@0,jesd204:0,parent=spi1.0: JESD204[0:1] transition link_supported -> link_pre_setup 
 +[    6.253263jesd204: /axi/spi@ff040000/adrv9009-phy@0,jesd204:0,parent=spi1.0JESD204[0:2] transition link_supported -> link_pre_setup 
 +[    6.309896] jesd204/axi/spi@ff040000/adrv9009-phy@0,jesd204:0,parent=spi1.0: JESD204[0:0transition link_pre_setup -> clk_sync_stage1 
 +[    6.322153] jesd204: /axi/spi@ff040000/adrv9009-phy@0,jesd204:0,parent=spi1.0: JESD204[0:1] transition link_pre_setup -> clk_sync_stage1 
 +[    6.334408jesd204: /axi/spi@ff040000/adrv9009-phy@0,jesd204:0,parent=spi1.0JESD204[0:2] transition link_pre_setup -> clk_sync_stage1 
 +[    6.356435jesd204: /axi/spi@ff040000/adrv9009-phy@0,jesd204:0,parent=spi1.0JESD204[0:0] transition clk_sync_stage1 -> clk_sync_stage2 
 +[    6.368780jesd204: /axi/spi@ff040000/adrv9009-phy@0,jesd204:0,parent=spi1.0: JESD204[0:1] transition clk_sync_stage1 -> clk_sync_stage2 
 +[    6.381121jesd204: /axi/spi@ff040000/adrv9009-phy@0,jesd204:0,parent=spi1.0JESD204[0:2] transition clk_sync_stage1 -> clk_sync_stage2 
 +[    6.393546jesd204/axi/spi@ff040000/adrv9009-phy@0,jesd204:0,parent=spi1.0: JESD204[0:0transition clk_sync_stage2 -> clk_sync_stage3 
 +[    6.405887jesd204: /axi/spi@ff040000/adrv9009-phy@0,jesd204:0,parent=spi1.0: JESD204[0:1] transition clk_sync_stage2 -> clk_sync_stage3 
 +[    6.418228jesd204: /axi/spi@ff040000/adrv9009-phy@0,jesd204:0,parent=spi1.0JESD204[0:2] transition clk_sync_stage2 -> clk_sync_stage3 
 +[    6.430573jesd204: /fpga-axi@0/axi-jesd204-rx@84a50000,jesd204:5,parent=84a50000.axi-jesd204-rxPossible instantiation for multiple c2 
 +[    6.445780jesd204: /fpga-axi@0/axi-jesd204-rx@84a70000,jesd204:7,parent=84a70000.axi-jesd204-rxPossible instantiation for multiple c2 
 +[    6.460988jesd204: /fpga-axi@0/axi-jesd204-tx@84a30000,jesd204:9,parent=84a30000.axi-jesd204-txPossible instantiation for multiple c4 
 +[    6.478205adrv9009 spi1.1ADIHAL_resetHw 
 +[    6.807922adrv9009 spi1.0ADIHAL_resetHw 
 +[    7.137699jesd204/axi/spi@ff040000/adrv9009-phy@0,jesd204:0,parent=spi1.0: JESD204[0:0] transition clk_sync_stage3 -> link_setup 
 +[    7.149606jesd204/axi/spi@ff040000/adrv9009-phy@0,jesd204:0,parent=spi1.0: JESD204[0:1] transition clk_sync_stage3 -> link_setup 
 +[    7.161513jesd204/axi/spi@ff040000/adrv9009-phy@0,jesd204:0,parent=spi1.0: JESD204[0:2] transition clk_sync_stage3 -> link_setup 
 +[    7.173585jesd204/axi/spi@ff040000/adrv9009-phy@0,jesd204:0,parent=spi1.0: JESD204[0:0] transition link_setup -> opt_setup_stage1 
 +[    7.185576jesd204: /axi/spi@ff040000/adrv9009-phy@0,jesd204:0,parent=spi1.0JESD204[0:1] transition link_setup -> opt_setup_stage1 
 +[    7.197571] jesd204/axi/spi@ff040000/adrv9009-phy@0,jesd204:0,parent=spi1.0JESD204[0:2] transition link_setup -> opt_setup_stage1 
 +[    7.302940random: crng init done 
 +[   11.155203] jesd204: /axi/spi@ff040000/adrv9009-phy@0,jesd204:0,parent=spi1.0JESD204[0:0] transition opt_setup_stage1 -> opt_setup_sta2 
 +[   11.167722] jesd204: /axi/spi@ff040000/adrv9009-phy@0,jesd204:0,parent=spi1.0: JESD204[0:1] transition opt_setup_stage1 -> opt_setup_sta2 
 +  11.180234jesd204: /axi/spi@ff040000/adrv9009-phy@0,jesd204:0,parent=spi1.0: JESD204[0:2] transition opt_setup_stage1 -> opt_setup_sta2 
 +  11.192954jesd204: /axi/spi@ff040000/adrv9009-phy@0,jesd204:0,parent=spi1.0: JESD204[0:0] transition opt_setup_stage2 -> opt_setup_sta3 
 +  11.205468jesd204/axi/spi@ff040000/adrv9009-phy@0,jesd204:0,parent=spi1.0: JESD204[0:1] transition opt_setup_stage2 -> opt_setup_sta3 
 +[   11.217981jesd204: /axi/spi@ff040000/adrv9009-phy@0,jesd204:0,parent=spi1.0: JESD204[0:2] transition opt_setup_stage2 -> opt_setup_sta3 
 +[   11.230697jesd204: /axi/spi@ff040000/adrv9009-phy@0,jesd204:0,parent=spi1.0: JESD204[0:0] transition opt_setup_stage3 -> opt_setup_sta4 
 +[   11.243215jesd204: /axi/spi@ff040000/adrv9009-phy@0,jesd204:0,parent=spi1.0: JESD204[0:1] transition opt_setup_stage3 -> opt_setup_sta4 
 +[   11.255731jesd204: /axi/spi@ff040000/adrv9009-phy@0,jesd204:0,parent=spi1.0: JESD204[0:2] transition opt_setup_stage3 -> opt_setup_sta4 
 +[   16.470043] jesd204: /axi/spi@ff040000/adrv9009-phy@0,jesd204:0,parent=spi1.0JESD204[0:0] transition opt_setup_stage4 -> opt_setup_sta5 
 +[   16.482562] jesd204: /axi/spi@ff040000/adrv9009-phy@0,jesd204:0,parent=spi1.0: JESD204[0:1] transition opt_setup_stage4 -> opt_setup_sta5 
 +[   16.495075jesd204: /axi/spi@ff040000/adrv9009-phy@0,jesd204:0,parent=spi1.0JESD204[0:2] transition opt_setup_stage4 -> opt_setup_sta5 
 +[   16.508137jesd204: /axi/spi@ff040000/adrv9009-phy@0,jesd204:0,parent=spi1.0JESD204[0:0] transition opt_setup_stage5 -> clocks_enable 
 +[   16.520399jesd204: /axi/spi@ff040000/adrv9009-phy@0,jesd204:0,parent=spi1.0: JESD204[0:1] transition opt_setup_stage5 -> clocks_enable 
 +[   16.532650] jesd204: /axi/spi@ff040000/adrv9009-phy@0,jesd204:0,parent=spi1.0: JESD204[0:2] transition opt_setup_stage5 -> clocks_enable 
 +[   16.550135jesd204: /axi/spi@ff040000/adrv9009-phy@0,jesd204:0,parent=spi1.0: JESD204[0:0] transition clocks_enable -> link_enable 
 +[   16.561954] jesd204: /axi/spi@ff040000/adrv9009-phy@0,jesd204:0,parent=spi1.0: JESD204[0:1] transition clocks_enable -> link_enable 
 +[   16.573775jesd204: /axi/spi@ff040000/adrv9009-phy@0,jesd204:0,parent=spi1.0: JESD204[0:2] transition clocks_enable -> link_enable 
 +[   16.620711] jesd204: /axi/spi@ff040000/adrv9009-phy@0,jesd204:0,parent=spi1.0: JESD204[0:0] transition link_enable -> link_running 
 +[   16.632446jesd204: /axi/spi@ff040000/adrv9009-phy@0,jesd204:0,parent=spi1.0: JESD204[0:1] transition link_enable -> link_running 
 +[   16.644179] jesd204: /axi/spi@ff040000/adrv9009-phy@0,jesd204:0,parent=spi1.0: JESD204[0:2] transition link_enable -> link_running 
 +[   16.757453] adrv9009 spi1.1: adrv9009_info: adrv9009 Rev 192, Firmware 6.2.1 API version: 3.6.2.successfully initialized via jesd204-fm 
 +[   16.871422adrv9009 spi1.0: adrv9009_info: adrv9009-x2 Rev 192, Firmware 6.2.1 API version3.6.2.1 successfully initialized via jesd20m 
 +[   16.884116jesd204: /axi/spi@ff040000/adrv9009-phy@0,jesd204:0,parent=spi1.0JESD204[0:0] transition link_running -> opt_post_running_e 
 +[   16.896803jesd204: /axi/spi@ff040000/adrv9009-phy@0,jesd204:0,parent=spi1.0: JESD204[0:1] transition link_running -> opt_post_running_e 
 +[   16.909492jesd204: /axi/spi@ff040000/adrv9009-phy@0,jesd204:0,parent=spi1.0JESD204[0:2] transition link_running -> opt_post_running_e 
 +[   16.925576] input: gpio_keys as /devices/platform/gpio_keys/input/input0 
 +[   16.932512] of_cfs_init 
 +[   16.934968of_cfs_initOK 
 +[   16.937966] cfg80211: Loading compiled-in X.509 certificates for regulatory database 
 +[   17.061586] cfg80211: Loaded X.509 cert 'sforshee: 00b28ddf47aef9cea7' 
 +[   17.068114] clk: Not disabling unused clocks 
 +[   17.072633] ALSA device list: 
 +[   17.075591]   #0: ADRV9009 ZU11EG ADAU1761 
 +[   17.079945platform regulatory.0: Direct firmware load for regulatory.db failed with error -
 +[   17.088553] cfg80211failed to load regulatory.db 
 +[   17.944935] EXT4-fs (mmcblk0p2): recovery complete 
 +[   17.953394] EXT4-fs (mmcblk0p2): mounted filesystem with ordered data mode. Opts: (null) 
 +[   17.961514] VFS: Mounted root (ext4 filesystem) on device 179:2. 
 +[   17.975849] devtmpfs: mounted 
 +[   17.979570] Freeing unused kernel memory: 2496K 
 +[   17.984188] Run /sbin/init as init process 
 +[   18.494456] systemd[1]System time before build time, advancing clock. 
 +[   18.533602systemd[1]: systemd 247.3-7+rpi1 running in system mode. (+PAM +AUDIT +SELINUX +IMA +APPARMOR +SMACK +SYSVINIT +UTMP +LIBCRY) 
 +[   18.556807] systemd[1]Detected architecture arm64. 
 + 
 +Welcome to Kuiper GNU/Linux 11.2 (bullseye)
 + 
 +[   18.581954] systemd[1]: Set hostname to <analog>. 
 +[   19.969929systemd[1]: /lib/systemd/system/plymouth-start.service:16: Unit configured to use KillMode=none. This is unsafe, as it disab. 
 +[   20.190835] systemd[1]: Queued start job for default target Graphical Interface. 
 +[   20.199479] systemd[1]: system-getty.sliceunit configures an IP firewallbut the local system does not support BPF/cgroup firewalling. 
 +[   20.211857] systemd[1]: (This warning is only shown for the first unit using IP firewalling.) 
 +[   20.220887] systemd[1]: Created slice system-getty.slice. 
 +[  OK  ] Created slice system-getty.slice. 
 +[   20.240857systemd[1]: Created slice system-modprobe.slice. 
 +[  OK  ] Created slice system-modprobe.slice. 
 +[   20.260796] systemd[1]: Created slice system-serial\x2dgetty.slice. 
 +[  OK  ] Created slice system-serial\x2dgetty.slice. 
 +[   20.284787] systemd[1]Created slice system-systemd\x2dfsck.slice. 
 +[  OK  ] Created slice system-systemd\x2dfsck.slice. 
 +[   20.308673] systemd[1]: Created slice User and Session Slice. 
 +[  OK  ] Created slice User and Session Slice. 
 +[   20.328694] systemd[1]: Started Forward Password Requests to Wall Directory Watch. 
 +[  OK  ] Started Forward Password R�…uests to Wall Directory Watch. 
 +[   20.352710] systemd[1]: Condition check resulted in Arbitrary Executable File Formats File System Automount Point being skipped. 
 +[   20.365061] systemd[1]: Reached target Slices. 
 +[  OK  ] Reached target Slices. 
 +[   20.380579] systemd[1]: Reached target Swap. 
 +[  OK  ] Reached target Swap. 
 +[   20.397194] systemd[1]: Listening on Syslog Socket. 
 +[  OK  ] Listening on Syslog Socket. 
 +[   20.412791] systemd[1]: Listening on fsck to fsckd communication Socket. 
 +[  OK  ] Listening on fsck to fsckd communication Socket. 
 +[   20.436648] systemd[1]: Listening on initctl Compatibility Named Pipe. 
 +[  OK  ] Listening on initctl Compatibility Named Pipe. 
 +[   20.461108] systemd[1]: Listening on Journal Audit Socket. 
 +[  OK  ] Listening on Journal Audit Socket. 
 +[   20.480793] systemd[1]: Listening on Journal Socket (/dev/log)
 +[  OK  ] Listening on Journal Socket (/dev/log). 
 +[   20.504852systemd[1]: Listening on Journal Socket. 
 +[  OK  ] Listening on Journal Socket. 
 +[   20.522281] systemd[1]: Listening on udev Control Socket. 
 +[  OK  ] Listening on udev Control Socket. 
 +[   20.544788] systemd[1]: Listening on udev Kernel Socket. 
 +[  OK  ] Listening on udev Kernel Socket. 
 +[   20.566278] systemd[1]: Mounting Huge Pages File System... 
 +         Mounting Huge Pages File System... 
 +[   20.582131] systemd[1]: Mounting POSIX Message Queue File System... 
 +         Mounting POSIX Message Queue File System... 
 +[   20.605935] systemd[1]: Mounting RPC Pipe File System... 
 +         Mounting RPC Pipe File System... 
 +[   20.622162] systemd[1]: Mounting Kernel Debug File System... 
 +         Mounting Kernel Debug File System... 
 +[   20.636917] systemd[1]: Condition check resulted in Kernel Trace File System being skipped. 
 +[   20.645539] systemd[1]: Condition check resulted in Kernel Module supporting RPCSEC_GSS being skipped. 
 +[   20.658448] systemd[1]: Starting Restore / save the current clock... 
 +         Starting Restore / save the current clock... 
 +[   20.687824] systemd[1]: Starting Set the console keyboard layout... 
 +         Starting Set the console keyboard layout... 
 +[   20.710146] systemd[1]: Condition check resulted in Create list of static device nodes for the current kernel being skipped. 
 +[   20.723451] systemd[1]: Starting Load Kernel Module configfs... 
 +         Starting Load Kernel Module configfs... 
 +[   20.742522] systemd[1]: Starting Load Kernel Module drm... 
 +         Starting Load Kernel Module drm... 
 +[   20.762848] systemd[1]: Starting Load Kernel Module fuse... 
 +         Starting Load Kernel Module fuse... 
 +[   20.788151] systemd[1]: Condition check resulted in Set Up Additional Binary Formats being skipped. 
 +[   20.797422] systemd[1]: Condition check resulted in File System Check on Root Device being skipped. 
 +[   20.808264] systemd[1]: Starting Journal Service... 
 +         Starting Journal Service... 
 +[   20.829135] systemd[1]: Starting Load Kernel Modules... 
 +         Starting Load Kernel Modules... 
 +[   20.846420] systemd[1]: Starting Remount Root and Kernel File Systems... 
 +         Starting Remount Root and Kernel File Systems... 
 +[   20.870354] systemd[1]: Starting Coldplug All udev Devices... 
 +         Starting Coldplug All udev Devices... 
 +[   20.893271] systemd[1]: Mounted Huge Pages File System. 
 +[  OK  ] Mounted Huge Pages File System. 
 +[   20.918303] systemd[1]: Mounted POSIX Message Queue File System. 
 +[  OK  ] Mounted POSIX Message Queue File System. 
 +[   20.940989] systemd[1]: Mounted RPC Pipe File System. 
 +[  OK  ] Mounted RPC Pipe File System. 
 +[   20.956993] systemd[1]: Mounted Kernel Debug File System. 
 +[  OK  ] Mounted Kernel Debug File System. 
 +[   20.977388] systemd[1]: Finished Restore / save the current clock. 
 +[  OK  ] Finished Restore / save the current clock. 
 +[   21.001586] systemd[1]: Finished Set the console keyboard layout. 
 +[  OK  ] Finished Set the console keyboard layout. 
 +[   21.027051] systemd[1]: Started Journal Service. 
 +[  OK  ] Started Journal Service. 
 +[  OK  ] Finished Load Kernel Module configfs. 
 +[  OK  ] Finished Load Kernel Module drm. 
 +[  OK  ] Finished Load Kernel Module fuse. 
 +[FAILED] Failed to start Load Kernel Modules. 
 +See 'systemctl status systemd-modules-load.service' for details. 
 +         Mounting FUSE Control File System... 
 +         Mounting Kernel Configuration File System... 
 +         Starting Apply Kernel Variables... 
 +[  OK  ] Mounted FUSE Control File System. 
 +[  OK  ] Mounted Kernel Configuration File System. 
 +[  OK  ] Finished Apply Kernel Variables. 
 +[  OK  ] Finished Coldplug All udev Devices. 
 +         Starting Helper to synchronize boot up for ifupdown... 
 +         Starting Wait for udev To �…plete Device Initialization... 
 +[  OK  ] Finished Helper to synchronize boot up for ifupdown. 
 +[  OK  ] Finished Remount Root and Kernel File Systems. 
 +         Starting Flush Journal to Persistent Storage... 
 +         Starting Load/Save Random Seed... 
 +         Starting Create System Users... 
 +[  OK  ] Finished Create System Users. 
 +[  OK  ] Finished Load/Save Random Seed. 
 +         Starting Create Static Device Nodes in /dev... 
 +[  OK  ] Finished Create Static Device Nodes in /dev. 
 +[  OK  ] Reached target Local File Systems (Pre). 
 +         Starting Rule-based Manage�…for Device Events and Files... 
 +[  OK  ] Finished Flush Journal to Persistent Storage. 
 +[  OK  ] Started Rule-based Manager for Device Events and Files. 
 +         Starting Show Plymouth Boot Screen... 
 +[  OK  ] Started Show Plymouth Boot Screen. 
 +[  OK  ] Started Forward Password R�…s to Plymouth Directory Watch. 
 +[  OK  ] Reached target Local Encrypted Volumes. 
 +[  OK  ] Found device /dev/ttyPS0. 
 +[  OK  ] Found device /dev/disk/by-partuuid/ce8c84ab-01. 
 +[  OK  ] Found device /dev/ttyS0. 
 +[  OK  ] Reached target Hardware activated USB gadget. 
 +[  OK  ] Listening on Load/Save RF �…itch Status /dev/rfkill Watch. 
 +         Starting File System Check�…isk/by-partuuid/ce8c84ab-01... 
 +         Starting Load Kernel Modules... 
 +[FAILED] Failed to start Load Kernel Modules. 
 +See 'systemctl status systemd-modules-load.service' for details. 
 +[  OK  ] Finished Wait for udev To Complete Device Initialization. 
 +[  OK  ] Finished File System Check�…/disk/by-partuuid/ce8c84ab-01. 
 +         Mounting /boot... 
 +[  OK  ] Started File System Check Daemon to report status. 
 +         Starting Load Kernel Modules... 
 +[  OK  ] Mounted /boot. 
 +[  OK  ] Reached target Local File Systems. 
 +         Starting Set console font and keymap... 
 +         Starting Preprocess NFS configuration... 
 +         Starting Tell Plymouth To Write Out Runtime Data... 
 +         Starting Create Volatile Files and Directories... 
 +[  OK  ] Finished Set console font and keymap. 
 +[  OK  ] Finished Preprocess NFS configuration. 
 +[FAILED] Failed to start Load Kernel Modules. 
 +See 'systemctl status systemd-modules-load.service' for details. 
 +[  OK  ] Finished Tell Plymouth To Write Out Runtime Data. 
 +         Starting Raise network interfaces... 
 +[  OK  ] Reached target NFS client services. 
 +[  OK  ] Reached target Remote File Systems (Pre). 
 +[  OK  ] Reached target Remote File Systems. 
 +[  OK  ] Finished Create Volatile Files and Directories. 
 +         Starting Update UTMP about System Boot/Shutdown... 
 +[  OK  ] Finished Update UTMP about System Boot/Shutdown. 
 +[  OK  ] Reached target System Initialization. 
 +[  OK  ] Started CUPS Scheduler. 
 +[  OK  ] Started Daily apt download activities. 
 +[  OK  ] Started Daily apt upgrade and clean activities. 
 +[  OK  ] Started Periodic ext4 Onli�…ata Check for All Filesystems. 
 +[  OK  ] Started Discard unused blocks once a week. 
 +[  OK  ] Started Daily rotation of log files. 
 +[  OK  ] Started Daily man-db regeneration. 
 +[  OK  ] Started Daily Cleanup of Temporary Directories. 
 +[  OK  ] Reached target Paths. 
 +[  OK  ] Reached target Timers. 
 +[  OK  ] Listening on Avahi mDNS/DNS-SD Stack Activation Socket. 
 +[  OK  ] Listening on CUPS Scheduler. 
 +[  OK  ] Listening on D-Bus System Message Bus Socket. 
 +[  OK  ] Listening on Erlang Port Mapper Daemon Activation Socket. 
 +[  OK  ] Listening on GPS (Global P�…ioning System) Daemon Sockets. 
 +[  OK  ] Listening on triggerhappy.socket. 
 +[  OK  ] Reached target Sockets. 
 +[  OK  ] Reached target Basic System. 
 +         Starting Save/Restore Sound Card State... 
 +         Starting Avahi mDNS/DNS-SD Stack... 
 +[  OK  ] Started Regular background program processing daemon. 
 +[  OK  ] Started D-Bus System Message Bus. 
 +         Starting dphys-swapfile - �…unt, and delete a swap file... 
 +         Starting Remove Stale Onli�…t4 Metadata Check Snapshots... 
 +         Starting Creating IIOD Context Attributes...... 
 +         Starting Authorization Manager... 
 +         Starting DHCP Client Daemon... 
 +         Starting LSBSwitch to on�…nless shift key is pressed)... 
 +         Starting LSB: rng-tools (Debian variant)... 
 +         Starting System Logging Service... 
 +         Starting User Login Management... 
 +         Starting triggerhappy global hotkey daemon... 
 +         Starting Disk Manager... 
 +         Starting WPA supplicant... 
 +[  OK  ] Finished Save/Restore Sound Card State. 
 +[  OK  ] Reached target Sound Card. 
 +[  OK  ] Finished Remove Stale Onli�…ext4 Metadata Check Snapshots. 
 +[  OK  ] Started triggerhappy global hotkey daemon. 
 +[  OK  ] Started DHCP Client Daemon. 
 +[  OK  ] Finished Raise network interfaces. 
 +[  OK  ] Finished dphys-swapfile - �…mountand delete a swap file. 
 + OK  Started LSB: rng-tools (Debian variant). 
 +[  OK  ] Started System Logging Service. 
 +[  OK  ] Started User Login Management
 +[  OK  ] Started Avahi mDNS/DNS-SD Stack. 
 +[  OK  ] Started WPA supplicant. 
 +[  OK  ] Reached target Network. 
 +[  OK  ] Reached target Network is Online. 
 +         Starting CUPS Scheduler... 
 +[  OK  ] Started Erlang Port Mapper Daemon. 
 +         Starting Load USB gadget scheme... 
 +         Starting HTTP based time synchronization tool... 
 +         Starting Internet superserver... 
 +         Starting /etc/rc.local Compatibility... 
 +         Starting OpenBSD Secure Shell server... 
 +         Starting Permit User Sessions... 
 +[  OK  ] Started Unattended Upgrades Shutdown. 
 +[  OK  ] Started /etc/rc.local Compatibility. 
 +[  OK  ] Finished Permit User Sessions. 
 +         Starting Light Display Manager... 
 +         Starting Hold until boot process finishes up... 
 +[  OK  ] Started Authorization Manager. 
 +         Starting Modem Manager... 
 +[  OK  ] Started HTTP based time synchronization tool. 
 +[  OK  ] Started Internet superserver. 
 +[  OK  ] Finished Load USB gadget scheme. 
 +         Mounting Mount FunctionFS instance... 
 +[  OK  ] Found device /dev/ttyGS0. 
 + OK  Mounted Mount FunctionFS instance. 
 +[  OK  ] Finished Creating IIOD Context Attributes.... 
 +[  OK  ] Started IIO Daemon
 +         Starting IIO Daemon with USB FFS support... 
 +         Stopping IIO Daemon... 
 +[  OK  ] Stopped IIO Daemon. 
 +[  OK  ] Started IIO Daemon with USB FFS support. 
 +         Starting Start USB gadget scheme... 
 +[  OK  ] Started OpenBSD Secure Shell server. 
 +[  OK  ] Started LSB: Switch to ond�…(unless shift key is pressed). 
 + 
 +Raspbian GNU/Linux 11 analog ttyPS0
  
-Last login: Thu Jan  1 00:00:25 UTC 1970 on tty1 +analog login: root (automatic login)
-Welcome to Linaro 14.04 (GNU/Linux 4.14.0-12222-g6598c88 aarch64)+
  
- * Documentation:  https://wiki.analog.com/ https://ez.analog.com/+Linux analog 5.10.0-98248-g1bbe32fa5182 #1143 SMP Wed Aug 3 18:38:55 IST 2022 aarch64
  
-New release '16.04.6 LTS' available. +The programs included with the Debian GNU/Linux system are free software; 
-Run 'do-release-upgrade' to upgrade to it.+the exact distribution terms for each program are described in the 
 +individual files in /usr/share/doc/*/copyright.
  
-root@analog:~# +Debian GNU/Linux comes with ABSOLUTELY NO WARRANTY, to the extent 
 +permitted by applicable law. 
 +Last login: Wed Aug 17 12:17:14 BST 2022 on ttyPS0 
 +root@analog:~#
 </code></WRAP> </code></WRAP>
  
resources/eval/user-guides/adrv9009-zu11eg/quick-start-guide.1561471592.txt.gz · Last modified: 25 Jun 2019 16:06 by Michael Hennerich