Project Status (01/11/2013 - 15:10:42)
Project File: system.xmp Implementation State: Programming File Generated
Module Name: system
  • Errors:
No Errors
Product Version:EDK 14.3
  • Warnings:
540 Warnings (0 new)
 
XPS Reports [-]
Report NameGenerated ErrorsWarningsInfos
Platgen Log FileFri Jan 11 14:41:30 2013027 Warnings (6 new)27 Infos (0 new)
Simgen Log File    
BitInit Log FileFri Jan 11 15:10:41 201302 Warnings (0 new)12 Infos (0 new)
System Log FileFri Jan 11 15:10:42 2013   
 
XPS Synthesis Summary (estimated values) [-]
ReportGeneratedFlip Flops UsedLUTs UsedBRAMS UsedErrors
systemFri Jan 11 14:42:07 20131040312240160
system_adc_reader_0_wrapperFri Jan 11 14:41:25 2013353490 0
system_clock_generator_0_wrapperFri Jan 11 14:41:10 2013 2 0
system_axi4lite_0_wrapperFri Jan 11 12:10:57 2013129315 0
system_debug_module_wrapperFri Jan 11 12:10:35 2013131140 0
system_clock_generator_1_wrapperFri Jan 11 10:41:02 2013   0
system_axi_dma_0_wrapperFri Dec 21 11:00:38 20122021164310
system_ddr3_sdram_wrapperFri Dec 21 10:56:57 201246886607 0
system_rs232_uart_1_wrapperFri Dec 21 10:55:48 201286109 0
system_axi4_0_wrapperFri Dec 21 10:55:37 201274766110
system_microblaze_0_wrapperFri Dec 21 10:52:58 20122123212660
system_microblaze_0_bram_block_wrapperFri Dec 21 10:52:13 2012  80
system_microblaze_0_d_bram_ctrl_wrapperFri Dec 21 10:52:03 201226 0
system_microblaze_0_dlmb_wrapperFri Dec 21 10:51:54 20121  0
system_microblaze_0_i_bram_ctrl_wrapperFri Dec 21 10:51:45 201226 0
system_microblaze_0_ilmb_wrapperFri Dec 21 10:51:36 20121  0
system_microblaze_0_intc_wrapperFri Dec 21 10:51:28 20125079 0
system_proc_sys_reset_0_wrapperFri Dec 21 10:51:15 20126956 0
 
Device Utilization Summary (actual values) [-]
Slice Logic UtilizationUsedAvailableUtilizationNote(s)
Number of Slice Registers 9,297 407,600 2%  
    Number used as Flip Flops 9,245      
    Number used as Latches 0      
    Number used as Latch-thrus 0      
    Number used as AND/OR logics 52      
Number of Slice LUTs 10,226 203,800 5%  
    Number used as logic 8,550 203,800 4%  
        Number using O6 output only 6,335      
        Number using O5 output only 204      
        Number using O5 and O6 2,011      
        Number used as ROM 0      
    Number used as Memory 1,195 64,000 1%  
        Number used as Dual Port RAM 660      
            Number using O6 output only 200      
            Number using O5 output only 14      
            Number using O5 and O6 446      
        Number used as Single Port RAM 0      
        Number used as Shift Register 535      
            Number using O6 output only 533      
            Number using O5 output only 1      
            Number using O5 and O6 1      
    Number used exclusively as route-thrus 481      
        Number with same-slice register load 448      
        Number with same-slice carry load 33      
        Number with other load 0      
Number of occupied Slices 4,497 50,950 8%  
Number of LUT Flip Flop pairs used 12,710      
    Number with an unused Flip Flop 4,394 12,710 34%  
    Number with an unused LUT 2,484 12,710 19%  
    Number of fully used LUT-FF pairs 5,832 12,710 45%  
    Number of unique control sets 564      
    Number of slice register sites lost
        to control set restrictions
2,233 407,600 1%  
Number of bonded IOBs 47 500 9%  
    Number of LOCed IOBs 47 47 100%  
    IOB Flip Flops 7      
    IOB Master Pads 2      
    IOB Slave Pads 2      
Number of RAMB36E1/FIFO36E1s 16 445 3%  
    Number using RAMB36E1 only 16      
    Number using FIFO36E1 only 0      
Number of RAMB18E1/FIFO18E1s 0 890 0%  
Number of BUFG/BUFGCTRLs 4 32 12%  
    Number used as BUFGs 4      
    Number used as BUFGCTRLs 0      
Number of IDELAYE2/IDELAYE2_FINEDELAYs 8 500 1%  
    Number used as IDELAYE2s 8      
    Number used as IDELAYE2_FINEDELAYs 0      
Number of ILOGICE2/ILOGICE3/ISERDESE2s 10 500 2%  
    Number used as ILOGICE2s 2      
Number used as    ILOGICE3s 0      
    Number used as ISERDESE2s 8      
Number of ODELAYE2/ODELAYE2_FINEDELAYs 0 150 0%  
Number of OLOGICE2/OLOGICE3/OSERDESE2s 37 500 7%  
    Number used as OLOGICE2s 4      
    Number used as OLOGICE3s 0      
    Number used as OSERDESE2s 33      
Number of PHASER_IN/PHASER_IN_PHYs 1 40 2%  
    Number used as PHASER_INs 0      
    Number used as PHASER_IN_PHYs 1      
        Number of LOCed PHASER_IN_PHYs 1 1 100%  
Number of PHASER_OUT/PHASER_OUT_PHYs 4 40 10%  
    Number used as PHASER_OUTs 0      
    Number used as PHASER_OUT_PHYs 4      
        Number of LOCed PHASER_OUT_PHYs 4 4 100%  
Number of BSCANs 1 4 25%  
Number of BUFHCEs 0 168 0%  
Number of BUFRs 0 40 0%  
Number of CAPTUREs 0 1 0%  
Number of DNA_PORTs 0 1 0%  
Number of DSP48E1s 3 840 1%  
Number of EFUSE_USRs 0 1 0%  
Number of FRAME_ECCs 0 1 0%  
Number of GTXE2_CHANNELs 0 16 0%  
Number of GTXE2_COMMONs 0 4 0%  
Number of IBUFDS_GTE2s 0 8 0%  
Number of ICAPs 0 2 0%  
Number of IDELAYCTRLs 1 10 10%  
Number of IN_FIFOs 1 40 2%  
    Number of LOCed IN_FIFOs 1 1 100%  
Number of MMCME2_ADVs 1 10 10%  
    Number of LOCed MMCME2_ADVs 1 1 100%  
Number of OUT_FIFOs 4 40 10%  
    Number of LOCed OUT_FIFOs 4 4 100%  
Number of PCIE_2_1s 0 1 0%  
Number of PHASER_REFs 2 10 20%  
    Number of LOCed PHASER_REFs 2 2 100%  
Number of PHY_CONTROLs 2 10 20%  
    Number of LOCed PHY_CONTROLs 2 2 100%  
Number of PLLE2_ADVs 1 10 10%  
    Number of LOCed PLLE2_ADVs 1 1 100%  
Number of STARTUPs 0 1 0%  
Number of XADCs 1 1 100%  
Average Fanout of Non-Clock Nets 3.65      
 
Performance Summary [-]
Final Timing Score: 0 (Setup: 0, Hold: 0, Component Switching Limit: 0) Pinout Data: Pinout Report
Routing Results: All Signals Completely Routed Clock Data: Clock Report
Timing Constraints: All Constraints Met    
 
Detailed Reports [-]
Report NameStatusGenerated ErrorsWarningsInfos
Translation ReportCurrentFri Jan 11 14:43:34 2013019 Warnings (0 new)17 Infos (0 new)
Map ReportCurrentFri Jan 11 14:50:51 20130173 Warnings (0 new)715 Infos (0 new)
Place and Route ReportCurrentFri Jan 11 14:53:26 20130175 Warnings (0 new)2 Infos (0 new)
Post-PAR Static Timing ReportCurrentFri Jan 11 14:54:03 2013004 Infos (0 new)
Bitgen ReportCurrentFri Jan 11 14:55:35 20130173 Warnings (0 new)0
 
Secondary Reports [-]
Report NameStatusGenerated
WebTalk Log FileCurrentFri Jan 11 14:55:35 2013

Date Generated: 01/11/2013 - 15:10:42